ImageVerifierCode 换一换
格式:PPT , 页数:132 ,大小:1.86MB ,
文档编号:2515718      下载积分:29 文币
快捷下载
登录下载
邮箱/手机:
温馨提示:
系统将以此处填写的邮箱或者手机号生成账号和密码,方便再次下载。 如填写123,账号和密码都是123。
支付方式: 支付宝    微信支付   
验证码:   换一换

优惠套餐
 

温馨提示:若手机下载失败,请复制以下地址【https://www.163wenku.com/d-2515718.html】到电脑浏览器->登陆(账号密码均为手机号或邮箱;不要扫码登陆)->重新下载(不再收费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录  
下载须知

1: 试题类文档的标题没说有答案,则无答案;主观题也可能无答案。PPT的音视频可能无法播放。 请谨慎下单,一旦售出,概不退换。
2: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
3: 本文为用户(三亚风情)主动上传,所有收益归该用户。163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(点击联系客服),我们立即给予删除!。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

1,本文(单片机原理与应用-第9章-单片机的串行接口课件.ppt)为本站会员(三亚风情)主动上传,163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。
2,用户下载本文档,所消耗的文币(积分)将全额增加到上传者的账号。
3, 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(发送邮件至3464097650@qq.com或直接QQ联系客服),我们立即给予删除!

单片机原理与应用-第9章-单片机的串行接口课件.ppt

1、1【本章内容】【本章内容】本章主要介绍本章主要介绍MCS-51单片机串行接口的结构、单片机串行接口的结构、工作原理、工作方式以及串行接口的应用等。工作原理、工作方式以及串行接口的应用等。【项目驱动的学习要点】【项目驱动的学习要点】 如何将应用项目中单片机通过如何将应用项目中单片机通过8155与与LED数数码管连接的接口电路,改为使用串行口与码管连接的接口电路,改为使用串行口与LED数数码管连接?码管连接? 如何编写串行口如何编写串行口LED数码管显示电路的驱动数码管显示电路的驱动程序?程序?第第9章章 单片机的串行接口单片机的串行接口2在线教务辅导网:在线教务辅导网:http:/教材其余课件及

2、动画素材请查阅在线教务辅导网教材其余课件及动画素材请查阅在线教务辅导网QQ:349134187 或者直接输入下面地址:或者直接输入下面地址:http:/3第第9章章 单片机的串行接口单片机的串行接口9.1 串行通信基础知识串行通信基础知识9.2 串行接口的结构与控制串行接口的结构与控制9.3 串行接口的工作方式与波特率串行接口的工作方式与波特率9.4 串行接口的应用举例串行接口的应用举例练习题练习题END49.1 串行通信基础知识串行通信基础知识9.1.0 通信方式通信方式9.1.1 串行通信的分类串行通信的分类9.1.2 串行通信的制式串行通信的制式 9.1.3 串行通信的标准接口串行通信的

3、标准接口59.1.0 通信方式通信方式1并行通信并行通信2串行通信串行通信61并行通信并行通信 并行通信是将传输数据的各比并行通信是将传输数据的各比特(位)同时进行传送。以单字特(位)同时进行传送。以单字节(节(8比特)数据为例,单片机通比特)数据为例,单片机通过并行接口与外设进行并行通信过并行接口与外设进行并行通信的示意图如图的示意图如图9-1所示。所示。7图图9-1 单片机并行通信示意图单片机并行通信示意图 82串行通信串行通信 串行通信是将传输数据的各串行通信是将传输数据的各比特(位)按先后顺序逐位进行比特(位)按先后顺序逐位进行传送。单片机通过串行接口与外传送。单片机通过串行接口与外设

4、进行串行通信的示意图如图设进行串行通信的示意图如图9-2所示。所示。9图图9-2 单片机串行通信示意图单片机串行通信示意图 图中图中TXD是串行数据发送脚,是串行数据发送脚,RXD是串是串行数据接收引脚。行数据接收引脚。10串行通信的优缺点:串行通信的优缺点:优点优点: 通信线路简单,只需一对传输通信线路简单,只需一对传输线就可以实现数据的发送和接收,线就可以实现数据的发送和接收,而且可以利用电话线,从而大大地而且可以利用电话线,从而大大地降低了线路成本,特别适合用于远降低了线路成本,特别适合用于远距离通信。距离通信。缺点:缺点:传送速度较低。传送速度较低。 111异步通信异步通信2同步通信同

5、步通信9.1.1 串行通信的分类串行通信的分类12 异步通信是指发送端和接收端使用各异步通信是指发送端和接收端使用各自的时钟来控制数据的发送和接收的一种自的时钟来控制数据的发送和接收的一种通信方式。这两个时钟源彼此独立,无需通信方式。这两个时钟源彼此独立,无需严格同步。严格同步。 异步通信的特点是以字符帧为单位进异步通信的特点是以字符帧为单位进行传输。为了使接收方能正确接收与识别行传输。为了使接收方能正确接收与识别发方送来的数据,收发双方必须在进行异发方送来的数据,收发双方必须在进行异步通信前,事先约定好异步通信的字符帧步通信前,事先约定好异步通信的字符帧格式和传输速率。格式和传输速率。 1异

6、步通信异步通信13(1)异步通信的字符帧格式)异步通信的字符帧格式图图9-3 异步通信的字符帧格式异步通信的字符帧格式14异步通信字符帧异步通信字符帧 各部分的结构和功能:各部分的结构和功能: 起始位起始位:位于字符帧开头,只占一位:位于字符帧开头,只占一位,将逻辑电平,将逻辑电平0作为联络信号,用于向接收方作为联络信号,用于向接收方表示发送端开始发出一帧字符。表示发送端开始发出一帧字符。 数据位数据位:紧跟起始位之后,长度由通:紧跟起始位之后,长度由通信双方事先约定,通常可取信双方事先约定,通常可取58位或位或9位,低位,低位在前,高位在后。位在前,高位在后。15 奇偶校验位奇偶校验位:位于

7、数据位之后,仅占:位于数据位之后,仅占一位,用于实现奇校验或偶校验。一位,用于实现奇校验或偶校验。 停止位停止位:位于字符帧末尾,逻辑电平为:位于字符帧末尾,逻辑电平为1,长度通常为,长度通常为1位,也可取为位,也可取为1.5位或位或2位,用位,用于向接收方表示发送端已发送完一帧字符。于向接收方表示发送端已发送完一帧字符。 空闲位空闲位:可有可无,若有,则位于停:可有可无,若有,则位于停止位之后,逻辑电平为止位之后,逻辑电平为1,位长度任意。用于,位长度任意。用于表示发送端没有发送数据表示发送端没有发送数据 异步通信字符帧异步通信字符帧 各部分的结构和功能:各部分的结构和功能:16(2)异步通

8、信的传输速率)异步通信的传输速率 异步通信的传输异步通信的传输速率通常用速率通常用比特率比特率或或波特率波特率来表示。来表示。 17 比特率:比特率: 为每秒钟传输的比特数,单位是比特为每秒钟传输的比特数,单位是比特/秒,秒,或记为或记为bit/s。 每个二进制码元(每个二进制码元(0或或1)所含的信息量规)所含的信息量规定为定为1bit。对于前述的异步串行通信而言,若。对于前述的异步串行通信而言,若字符帧的长度为字符帧的长度为10,即,即1个起始位、个起始位、1个停止位个停止位、8个数据位(没有空闲位),如果每秒钟传个数据位(没有空闲位),如果每秒钟传送送240个字符,则这时的比特率为:个字

9、符,则这时的比特率为: 10bit/个个 240个个/s = 2400 bit/s18 波特率:波特率: 为每秒钟传送的码元数,单位为为每秒钟传送的码元数,单位为“波特波特”,常用符号,常用符号B(Baud)表示。)表示。 例如,若某通信系统每秒钟传送例如,若某通信系统每秒钟传送2 400个个码元,则该系统的波特率为码元,则该系统的波特率为2 400波特或写作波特或写作2 400B。但要注意,波特率仅仅是表征单位。但要注意,波特率仅仅是表征单位时间内传送码元的数目,而没有限定码元由时间内传送码元的数目,而没有限定码元由何种进制构成。何种进制构成。 19波特率和比特率的区别:波特率和比特率的区别

10、: 对于二制码元(对于二制码元(0或或1),由于每个码元的),由于每个码元的信息量为信息量为1比特,因此,这时,比特率和波特比特,因此,这时,比特率和波特率在数值上相同;率在数值上相同; 对于四制码元(对于四制码元(00、01、10或或11),由),由于每个码元的信息量为于每个码元的信息量为2比特,因此,四制码比特,因此,四制码元的比特率在数值上是波特率的元的比特率在数值上是波特率的2倍。倍。 正因为在二进制下,波特率和比特率在数正因为在二进制下,波特率和比特率在数值上相同,所以也经常用波特率表示数据的传值上相同,所以也经常用波特率表示数据的传输速率。输速率。 202同步通信同步通信 同步通信

11、是指通信时要建立发送方同步通信是指通信时要建立发送方时钟对接收方时钟的直接控制,使收发时钟对接收方时钟的直接控制,使收发双方达到完全同步的一种通信方式。这双方达到完全同步的一种通信方式。这里所说的同步既包含位同步,也包含字里所说的同步既包含位同步,也包含字符同步。接收方只要与发送方建立起同符同步。接收方只要与发送方建立起同步关系,就可以对发方送来的数据进行步关系,就可以对发方送来的数据进行准确识别。因此,在同步通信中,可以准确识别。因此,在同步通信中,可以连续串行传送数据,字符间不留间隙。连续串行传送数据,字符间不留间隙。同步通信的字符帧格式如图同步通信的字符帧格式如图9-4所示。所示。 21

12、同步通信的字符帧格式同步通信的字符帧格式 图图9-4 同步通信的字符帧格式同步通信的字符帧格式22 同步字符:同步字符:位于帧结构开头,用于确认数位于帧结构开头,用于确认数据字符的开始。接收方进入接收状态后,不断据字符的开始。接收方进入接收状态后,不断对传输线采样,并把采样到的字符和双方约定对传输线采样,并把采样到的字符和双方约定的同步字符比较,只有比较成功后才会接收传的同步字符比较,只有比较成功后才会接收传送过来的字符。同步字符可以采用统一标准格送过来的字符。同步字符可以采用统一标准格式,也可由用户约定。在单同步字符帧中,同式,也可由用户约定。在单同步字符帧中,同步字符常采用步字符常采用AS

13、CII码中规定的码中规定的SYN代码(即代码(即16H);在双同步字符帧中,同步字符一般采);在双同步字符帧中,同步字符一般采用国际通用标准代码用国际通用标准代码EB90H。同步通信字符帧同步通信字符帧 各部分的结构和功能:各部分的结构和功能:23 数据字符数据字符:在同步字符之后,个数:在同步字符之后,个数不受限制,由所需传输的数据块长度和不受限制,由所需传输的数据块长度和协议决定。协议决定。 校验字符校验字符:一般为:一般为12个,位于帧个,位于帧结构末尾,用于接收端对接收到的数据结构末尾,用于接收端对接收到的数据字符进行正确性校验。字符进行正确性校验。同步通信字符帧同步通信字符帧 各部分

14、的结构和功能:各部分的结构和功能:249.1.2 串行通信的制式串行通信的制式图图9-5 串行通信的串行通信的3种制式种制式25图图9-5 串行通信的串行通信的3种制式种制式261单工通信单工通信 单工通信是指数据仅能沿一个方向单工通信是指数据仅能沿一个方向传输,不能反向传输。传输,不能反向传输。图图9-5 串行通信的串行通信的3种制式种制式272半双工通信半双工通信 半双工是指数据传输可以沿两个方向,但需要半双工是指数据传输可以沿两个方向,但需要分时进行。可以通过收分时进行。可以通过收/发转换开关进行接收状态发转换开关进行接收状态和发送状态的切换,也可以通过软件控制来实现和发送状态的切换,也

15、可以通过软件控制来实现收收/发状态切换。发状态切换。图图9-5 串行通信的串行通信的3种制式种制式283全双工通信全双工通信 全双工是指数据可以同时进行双向全双工是指数据可以同时进行双向传输。传输。图图9-5 串行通信的串行通信的3种制式种制式299.1.3 串行通信的标准接口串行通信的标准接口 标准接口标准接口:是指为了使计算机、各种:是指为了使计算机、各种数据终端、通信设备相互之间能进行合理数据终端、通信设备相互之间能进行合理化、规范化、通用化的连接而制定的具有化、规范化、通用化的连接而制定的具有特定参数、指标与性能并符合某种协议的特定参数、指标与性能并符合某种协议的接口。接口。 常用的串

16、行通信标准接口有常用的串行通信标准接口有RS-232C、RS-422A、RS-423A、RS-485等,下等,下面重点介绍面重点介绍RS-232C接口。接口。 30RS-232C接口接口 RS-232C标准接口是标准接口是EIA(美国电子工业(美国电子工业协会)于协会)于1969年颁布的串行通信接口标准。年颁布的串行通信接口标准。RS是是“Recommended Standard”(推荐标(推荐标准)的缩写,准)的缩写,232为标准的编号,为标准的编号,C为版本号为版本号。在。在RS-232C之前为之前为RS-232A与与RS-232B,1987年修订为年修订为EIA-232D,1991年修订

17、为年修订为EIA-232E,1997年又修订为年又修订为EIA-232F。其中,。其中,RS-232C最为常用。最为常用。31RS-232C接口在远程数据通信系统中的应用接口在远程数据通信系统中的应用 当两台计算机(当两台计算机(DTE)借助公用电话网进行)借助公用电话网进行远程数据通信时。远程数据通信时。RS-232C用于将用于将DTE和和DCE进行连接,使两者能进行串行通信。进行连接,使两者能进行串行通信。 图图9-6 RS-232C接口在远程数据通信系统中的应用接口在远程数据通信系统中的应用321RS-232C的机械特性的机械特性 RS-232C接口规定使用接口规定使用25针连接器针连接

18、器(DB-25),连接器的尺寸及每个插针的),连接器的尺寸及每个插针的排列位置都有明确的定义。由于一般的应排列位置都有明确的定义。由于一般的应用中很少用到用中很少用到RS-232C标准的全部信号线标准的全部信号线,所以在实际应用中常常使用,所以在实际应用中常常使用9针连接器针连接器(DB-9)替代)替代25针连接器。两者的外形针连接器。两者的外形与引脚排列如图与引脚排列如图9-7所示。通常一端做成所示。通常一端做成插针,另一端做成插孔。插针,另一端做成插孔。33DB-25和和DB-9连接器连接器图图9-7 DB-25和和DB-9连接器连接器342RS-232C的引脚功能(的引脚功能(表表9-1

19、 )引引 脚脚序序 号号信信 号号名名 称称功功 能能信信 号号 方方 向向1PGND保护(屏蔽)接地保护(屏蔽)接地2(3)TXD发送数据(串行输出)发送数据(串行输出)DTEDCE3(2)RXD接收数据(串行输入)接收数据(串行输入)DTEDCE4(7)RTS请求发送请求发送DTEDCE5(8)CTS允许发送允许发送DTEDCE6(6)DSRDCE就绪(数据建立就绪)就绪(数据建立就绪)DTEDCE7(5)SGND信号接地信号接地8(1)DCD载波检测载波检测DTEDCE9保留供测试用保留供测试用10保留供测试用保留供测试用注:引脚序号栏中带括号的序号为注:引脚序号栏中带括号的序号为DB-

20、9连接器的引脚序号。连接器的引脚序号。35引引 脚脚序序 号号信信 号号名名 称称功功 能能信信 号号 方方 向向11未定义未定义12SDCD辅助信道载波检测辅助信道载波检测DTEDCE13SCTS辅助信道允许发送辅助信道允许发送DTEDCE14STXD辅助信道发送数据辅助信道发送数据DTEDCE15TXC发送时钟发送时钟DTEDCE16SRXD辅助信道接收数据辅助信道接收数据DTEDCE17RXC接收时钟接收时钟DTEDCE18未定义未定义19SRTS辅助信道请求发送辅助信道请求发送DTEDCE20(4) DTRDTE就绪(数据终端准备就绪)就绪(数据终端准备就绪) DTEDCE21SQD信

21、号质量检测信号质量检测DTEDCE22(9)RI振铃指示振铃指示DTEDCE23DRS数据信号速率选择数据信号速率选择DTEDCE24ETXC外部发送时钟外部发送时钟DTEDCE25未定义未定义注:引脚序号栏中带括号的序号为注:引脚序号栏中带括号的序号为DB-9连接器的引脚序连接器的引脚序号号363RS-232C的电气特性的电气特性 RS-232C的信号电平的信号电平:RS-232C标准标准规定采用负逻辑电平。信号源点的逻辑规定采用负逻辑电平。信号源点的逻辑0(空(空号)电平范围为号)电平范围为+5V+15V,逻辑,逻辑1(传号(传号)电平范围为)电平范围为5V15V;信号目的点的逻;信号目的

22、点的逻辑辑0(空号)电平范围为(空号)电平范围为+3V+15V,逻辑,逻辑1(传号)电平范围为(传号)电平范围为3V15V,噪声容限,噪声容限为为2V。RS-232C的信号电平如图的信号电平如图9-8所示。所示。37RS-232C的信号电平的信号电平图图9-8 RS-232C的信号电平的信号电平 通常,通常,RS-232C的逻辑电平采用的逻辑电平采用12V表示逻表示逻辑辑0,12V表示逻辑表示逻辑1。38RS-232C的传输距离的传输距离与与传输速率:传输速率: RS-232C的传输距离:的传输距离:DTE和和DEC之间采用之间采用RS-232C传输的最大距离传输的最大距离不大于不大于15m。

23、 RS-232C的传输速率:的传输速率:小于小于20kbit/s。394RS-232C与与DTE和和DCE的连接的连接 RS-232C在远程通信中的连接在远程通信中的连接 远程通信是指传输距离在远程通信是指传输距离在15m以上的远以上的远距离通信如图距离通信如图9-6:40RS-232C在远程通信中的连接在远程通信中的连接 远程通信通常需要采用调制解调器(远程通信通常需要采用调制解调器(MODEM),这),这时,时,RS-232C与与DTE和和DEC之间的连接方式如图之间的连接方式如图9-9:图图9-9 RS-232C在远程通信中的连接方式在远程通信中的连接方式41 近程通信是通信距离小于近程

24、通信是通信距离小于15m的通信,这时,无需使用的通信,这时,无需使用调制解调器,两台具有调制解调器,两台具有RS-232C接口的计算机可采用图接口的计算机可采用图9-10所示的两种连接方式中的任意一种进行直接连接。所示的两种连接方式中的任意一种进行直接连接。RS-232C在在近近程通信中的连接程通信中的连接图图9-10 RS-232C在近程通信中的连接方式在近程通信中的连接方式 425RS-232C与与MCS-51系列单片机的连接系列单片机的连接 由于由于MCS-51系列单片机的串行口不是系列单片机的串行口不是标准标准RS-232C接口,采用的是正逻辑接口,采用的是正逻辑TTL电电平:即逻辑平

25、:即逻辑1为为2.4V;逻辑;逻辑0为为0.4V。 所以使用所以使用RS-232C接口将接口将MCS-51系列系列单片机与计算机或其他具有单片机与计算机或其他具有RS-232C接口的接口的设备进行连接时,必须考虑电平转换问题。设备进行连接时,必须考虑电平转换问题。 通常使用专用的电平转换芯片来进行电通常使用专用的电平转换芯片来进行电平转换。平转换。43MC1488、MC1489电平转换芯片电平转换芯片 图图9-11 MC1488、MC1489的内部结构和引脚排列的内部结构和引脚排列 MC1488用于将输入的用于将输入的TTL电平转换为电平转换为RS-232C电平,电平,MC1489用于将输入的

26、用于将输入的RS-232C电平转换为电平转换为TTL电平输出。它电平输出。它们的内部结构和引脚排列如图们的内部结构和引脚排列如图9-11所示。所示。44由由MC1488、MC1489构成的电平转换电路构成的电平转换电路 图图9-12 由由MC1488、MC1489构成的电平转换电路构成的电平转换电路45 为了减少使用双电源的麻烦,现在市场上为了减少使用双电源的麻烦,现在市场上出现了使用单电源供电的电平转换芯片,这种出现了使用单电源供电的电平转换芯片,这种芯片体积更小,连接更简便,而且抗干扰能力芯片体积更小,连接更简便,而且抗干扰能力更强,常见的有更强,常见的有MAXIM公司生产公司生产MAX2

27、32。它。它仅需要仅需要+5V电源,由内置的电子泵电压转换器电源,由内置的电子泵电压转换器将将 +5V转换成转换成 10V+10V。该芯片与。该芯片与TTL/CMOS电平兼容,片内有电平兼容,片内有2个发送器和个发送器和2个个接收器,使用比较方便。由它构成的电平转换接收器,使用比较方便。由它构成的电平转换电路如图电路如图9-13所示。所示。MAX232电平转换芯片电平转换芯片 46由由MAX232构成的电平转换电路构成的电平转换电路图图9-13 由由MAX232构成的电平转换电路构成的电平转换电路476RS-232C的缺点的缺点 接口的信号电平值较高,易损坏接口电路接口的信号电平值较高,易损坏

28、接口电路的芯片。的芯片。 与与TTL电平不兼容,与电平不兼容,与TTL电平接口连接需电平接口连接需进行电平转换。进行电平转换。 传输距离短,使用时传输距离一般不超过传输距离短,使用时传输距离一般不超过15m,线路条件好时也不超过几十米。,线路条件好时也不超过几十米。 传输速率较低,最高传送速率为传输速率较低,最高传送速率为20kbit/s。 由于收发信号采用共地传输,容易产生共由于收发信号采用共地传输,容易产生共模干扰,所以抗干扰能力较差。模干扰,所以抗干扰能力较差。489.2 串行接口的结构与控制串行接口的结构与控制9.2.1 串行接口的结构串行接口的结构9.2.2 串行接口的控制寄存器串行

29、接口的控制寄存器49图图9-14 MCS-51单片机串行口的结构单片机串行口的结构9.2.1 串行接口的结构串行接口的结构50图图9-14 说明说明 串行口由发送电路和接收电路两部分组成。图中有串行口由发送电路和接收电路两部分组成。图中有两个物理上独立的串行口接收、发送缓冲器两个物理上独立的串行口接收、发送缓冲器SBUF。 SBUF(发送)用于存放将要发送的字符数据;(发送)用于存放将要发送的字符数据;SBUF(接收)用于存放串行口接收到的字符数据,数(接收)用于存放串行口接收到的字符数据,数据的发送、接收可同时进行。据的发送、接收可同时进行。 SBUF(发送)和(发送)和SBUF(接收)同属

30、于特殊功能(接收)同属于特殊功能寄存器寄存器SBUF,占用同一个地址,占用同一个地址99H。但。但发送缓冲器只发送缓冲器只能写入,不能读出;接收缓冲器只能读出,不能写入能写入,不能读出;接收缓冲器只能读出,不能写入。因此,对因此,对SBUF进行写操作时,是把数据送入进行写操作时,是把数据送入SBUF(发(发送)中;对送)中;对SBUF进行读操作时,读出的是进行读操作时,读出的是SBUF(接收(接收)中的数据。)中的数据。511串口发送过程串口发送过程 当单片机执行当单片机执行“写写”SBUF命令(如命令(如MOV SBUF,A)时,将累加器)时,将累加器A中欲发送的字符送中欲发送的字符送入入S

31、BUF(发送)后,发送控制器在发送时钟(发送)后,发送控制器在发送时钟的作用下,自动在发送字符前后添加起始位、的作用下,自动在发送字符前后添加起始位、停止位和其他控制位,然后在发送时钟的控制停止位和其他控制位,然后在发送时钟的控制下,逐位从下,逐位从TXD线上串行发送字符帧。发送完线上串行发送字符帧。发送完后使发送中断标志后使发送中断标志TI=1,发出串口发送中断请,发出串口发送中断请求。求。522串口接收过程串口接收过程 串行口在接收时,接收控制器会自动对串行口在接收时,接收控制器会自动对RXD线进行监视。当确认线进行监视。当确认RXD线上出现起始线上出现起始位后,接收控制器就从起始位后的数

32、据位开始位后,接收控制器就从起始位后的数据位开始,将一帧字符中的有用位逐位移入接收缓冲寄,将一帧字符中的有用位逐位移入接收缓冲寄存器存器SBUF(接收)中,自动去掉起始位、停(接收)中,自动去掉起始位、停止位或空闲位,并使接收中断标志止位或空闲位,并使接收中断标志RI = 1,发,发出串口接收中断请求。这时,只要执行出串口接收中断请求。这时,只要执行“读读”SBUF命令(如命令(如MOV SBUF,A),便可以),便可以得到接收的数据。得到接收的数据。539.2.2 串行接口的控制寄存器串行接口的控制寄存器1串行口控制寄存器串行口控制寄存器SCON2电源控制寄存器电源控制寄存器PCON541串

33、行口控制寄存器串行口控制寄存器SCON SCON用于设定串行口的工作方式、接收用于设定串行口的工作方式、接收/发送发送控制以及设置状态标志等。它的字节地址为控制以及设置状态标志等。它的字节地址为98H,可进行位寻址,其各位的定义如图可进行位寻址,其各位的定义如图9-15所示。所示。图图9-15 串行口控制寄存器串行口控制寄存器 55SCON各位名称和功能各位名称和功能 SM0和和SM1(SCON.7和和SCON.6):串行口工作):串行口工作方式选择位。可选择方式选择位。可选择4种工作方式,如表种工作方式,如表9-2所示。所示。SM0SM1工作方式工作方式功功 能能波波 特特 率率000移位寄

34、存器移位寄存器fosc/1201110位异步收发(位异步收发(8位数据)位数据)可变,由定时器控制可变,由定时器控制10211位异步收发(位异步收发(9位数据)位数据)fosc/64或或fosc/3211311位异步收发(位异步收发(9位数据)位数据)可变,由定时器控制可变,由定时器控制表表9-2串行口的工作方式串行口的工作方式56 RI(SCON.0):接收中断标志位。用):接收中断标志位。用于指示一帧信息是否接收完毕它的工作过于指示一帧信息是否接收完毕它的工作过程是:在方式程是:在方式0中,当串行接收完第中,当串行接收完第8位数位数据时;在其他方式下,当串行口接收到停据时;在其他方式下,当

35、串行口接收到停止位时;由内部硬件电路使止位时;由内部硬件电路使RI=1。一旦。一旦RI被硬件置被硬件置1,便产生串口中断请求(假设,便产生串口中断请求(假设串口中断是开放的,下同)。串口中断是开放的,下同)。SCON各位名称和功能各位名称和功能57 TI(SCON.1):发送中断标志位。用):发送中断标志位。用于指示一帧信息是否发送完毕它的工作过于指示一帧信息是否发送完毕它的工作过程是:在方式程是:在方式0中,当串行发送第中,当串行发送第8位数据位数据结束时;在其他方式下,开始串行发送停结束时;在其他方式下,开始串行发送停止位时,由内部硬件电路使止位时,由内部硬件电路使TI=1,并向,并向CP

36、U发出串口中断请求。与发出串口中断请求。与RI标志的清除标志的清除方法相似,方法相似,TI也必须通过软件才能将其清也必须通过软件才能将其清0(如执行(如执行CLR TI指令)。指令)。SCON各位名称和功能各位名称和功能58 RB8(SCON.2):接收数据第):接收数据第9位。在位。在方式方式2或方式或方式3中,用于存放接收数据的第中,用于存放接收数据的第9位,此时,该位可能是奇偶校验位,也可位,此时,该位可能是奇偶校验位,也可能是多机通信中的地址帧能是多机通信中的地址帧/数据帧的标志位数据帧的标志位。在方式。在方式1时,若时,若SM2=0,则,则RB8为接收到为接收到的停止位。方式的停止位

37、。方式0时,不使用时,不使用RB8。 SCON各位名称和功能各位名称和功能59 TB8(SCON.3):发送数据第):发送数据第9位。在位。在方式方式2或方式或方式3中,用于存放发送数据的第中,用于存放发送数据的第9位,此时,该位可以用作奇偶校验位,在多位,此时,该位可以用作奇偶校验位,在多机通信中,可以作为地址帧机通信中,可以作为地址帧/数据帧的标志数据帧的标志位。位。 REN(SCON.4):允许接收控制位。):允许接收控制位。若使若使REN=1,则启动串行口接收数据;若,则启动串行口接收数据;若使使REN=0,则禁止串口接收。,则禁止串口接收。SCON各位名称和功能各位名称和功能60SM

38、2(SCON.5):多机通信控制位。主要用于方):多机通信控制位。主要用于方式式2和方式和方式3中。中。 若接收方单片机的若接收方单片机的SM2 = 1,则由收到的,则由收到的RB8来控来控制是否使制是否使RI置置1:当收到的:当收到的RB8 = 0时,时, RI不被置不被置1,收到的信息被丢弃;当收到的收到的信息被丢弃;当收到的RB8 = 1时,收到的数时,收到的数据进入据进入SBUF(接收),并将(接收),并将RI置置1,向,向CPU发出串口发出串口中断请求,接收方可在串口中断服务中将数据从中断请求,接收方可在串口中断服务中将数据从SBUF(接收)中读走。也可以通过查询(接收)中读走。也可

39、以通过查询RI的办法,的办法,在发现在发现RI为为1后,将后,将SBUF(接收)中的数据读走。(接收)中的数据读走。 SCON各位名称和功能各位名称和功能61 若接收收方单片机的若接收收方单片机的SM2 = 0,则,则RB8不再不再具有控制具有控制RI激活的功能,不论收到的激活的功能,不论收到的RB8为为0还还是是1,收到的数据都会进入,收到的数据都会进入SBUF,并使,并使RI = 1。 运用运用SM2的控制功能,便可以实现多机通信的控制功能,便可以实现多机通信(将在下一节中详细介绍)。(将在下一节中详细介绍)。 在方式在方式0时,不使用时,不使用SM2控制位,应将控制位,应将SM2设定为设

40、定为0。在方式。在方式1时,通常也将时,通常也将SM2设为设为0,若,若使使SM2 = 1,则只有接收到有效的停止位时,则只有接收到有效的停止位时,RI才被置才被置1。SCON各位名称和功能各位名称和功能622电源控制寄存器电源控制寄存器PCON PCON的字节地址为的字节地址为87H,不能按位寻址,只能,不能按位寻址,只能按字节寻址。各位的定义如图按字节寻址。各位的定义如图9-16所示。其中,只所示。其中,只有一位有一位SMOD与串行口工作有关。编程时只能使用与串行口工作有关。编程时只能使用字节操作指令对它赋值。字节操作指令对它赋值。图图9-16 电源控制寄存器电源控制寄存器 63PCON控

41、制位的名称和功能控制位的名称和功能 SMOD(PCON.7):波特率倍增):波特率倍增位。在串行口方式位。在串行口方式1、方式、方式2、方式、方式3中,用中,用于控制是否倍增波特率。当于控制是否倍增波特率。当SMOD = 0时,时,波特率不倍增;当波特率不倍增;当SMOD = 1时,波特率提时,波特率提高一倍。高一倍。 PCON其余的位,只定义了其余的位,只定义了4位,位,GF1、GF0为通用标志位,为通用标志位,PD、IDL用于节用于节电方式控制:前者为掉电控制位,后者为电方式控制:前者为掉电控制位,后者为空闲控制位。空闲控制位。649.3 串行接口的工作方式与波特率串行接口的工作方式与波特

42、率9.3.1 串行接口的工作方式串行接口的工作方式9.3.2 串行接口的波特率串行接口的波特率659.3.1 串行接口的工作方式串行接口的工作方式1方式方式02方式方式13方式方式2和方式和方式3661方式方式0 图图9-17 串口工作在方式串口工作在方式0时的内部结构时的内部结构 方式方式0时,串行口被设定为时,串行口被设定为同步移位寄存器同步移位寄存器。这时,串。这时,串行口的内部结构可简化为图行口的内部结构可简化为图9-17所示。所示。67图图9-17说明说明 由图由图9-17可见,当串行口工作于方式可见,当串行口工作于方式0时时,RXD(P3.0)引脚用于输入或输出数据,)引脚用于输入

43、或输出数据,TXD(P3.1)引脚用于输出同步移位脉冲。)引脚用于输出同步移位脉冲。波特率固定为波特率固定为fosc/12。发送和接收均为。发送和接收均为8位数位数据,低位在前,高位在后。据,低位在前,高位在后。 串口工作于方式串口工作于方式0时,时,SM2、RB8和和TB8皆不起作用,通常将它们均设置为皆不起作用,通常将它们均设置为0状态。状态。68(1)方式)方式0发送发送 方式方式0发送时,发送时,SBUF(发送)相当于一个并入串出的(发送)相当于一个并入串出的移位寄存器。移位寄存器。 当当TI = 0时,通过指令向发送数据缓冲器时,通过指令向发送数据缓冲器SBUF写入写入一个数据,就会

44、启动串行口的发送过程。从一个数据,就会启动串行口的发送过程。从RXD引脚逐引脚逐位移出位移出SBUF中的数据,同时从中的数据,同时从TXD引脚输出同步移位引脚输出同步移位脉冲。这个移位脉冲可供与串口通信的外设作为输入移脉冲。这个移位脉冲可供与串口通信的外设作为输入移位脉冲移入数据。位脉冲移入数据。 当当SBUF中的中的8位数据完全移出后,硬件电路自动将位数据完全移出后,硬件电路自动将中断标志中断标志TI置置1,产生串口中断请求。如要再发送下一字,产生串口中断请求。如要再发送下一字节数据,必须用指令先将节数据,必须用指令先将TI清清0,再重复上述过程。,再重复上述过程。69图图9-18 串口方式

45、串口方式0的发送时序的发送时序方式方式0发送时的时序发送时的时序70(2)方式)方式0接收接收 在方式在方式0接收时,接收时,SBUF(接收)相当于一个串入并出的(接收)相当于一个串入并出的移位寄存器。移位寄存器。 当当SCON中的接收允许位中的接收允许位REN = 1,并用指令使,并用指令使RI为为0时时,就会启动串行口接收过程。外设送来的串行数据从,就会启动串行口接收过程。外设送来的串行数据从RXD引引脚输入,同步移位脉冲从脚输入,同步移位脉冲从TXD引脚输出,供给外设作为输出引脚输出,供给外设作为输出移位脉冲用于移出数据。移位脉冲用于移出数据。 当一帧数据完全移入单片机的当一帧数据完全移

46、入单片机的SBUF后,由硬件电路将后,由硬件电路将中断标志中断标志RI置置1,产生串口中断请求。接收方可在查询到,产生串口中断请求。接收方可在查询到RI = l后或在串口中断服务程序中将后或在串口中断服务程序中将SBUF(接收)中的数据(接收)中的数据读走。读走。 如要再接收数据,必须用指令将如要再接收数据,必须用指令将RI清清0,再重复上述过程,再重复上述过程。71串口方式串口方式0的接收时序的接收时序图图9-19 串口方式串口方式0的接收时序的接收时序722方式方式1 工作方式工作方式1时,串口被设定为时,串口被设定为10位异步通信口。位异步通信口。TXD为数据发送引脚,为数据发送引脚,R

47、XD为数据接收引脚,所传送为数据接收引脚,所传送的字符帧格式如图的字符帧格式如图9-20所示。所示。图图9-20 串口方式串口方式1的字符帧格式的字符帧格式 73(1)方式)方式1发送发送 在在TI = 0时,当执行一条写时,当执行一条写SBUF的指令后,的指令后,即可启动串行口发送过程:即可启动串行口发送过程: 发送电路自动在写入发送电路自动在写入SBUF中的中的8位数据前位数据前后分别添加后分别添加1位起始位和位起始位和1位停止位。在发送移位停止位。在发送移位脉冲作用下,从位脉冲作用下,从TXD引脚逐位送出起始位、引脚逐位送出起始位、数据位和停止位。发送完一个字符帧后,自动数据位和停止位。

48、发送完一个字符帧后,自动维持维持TXD线为高电平。并使发送中断标志线为高电平。并使发送中断标志TI置置1,产生串口中断请求。通过软件将,产生串口中断请求。通过软件将TI清清0,便可,便可继续发送。继续发送。 74串口方式串口方式1的发送时序的发送时序图图9-21 串口方式串口方式1的发送时序的发送时序75(2)方式)方式1接收接收 当使用命令使当使用命令使RI = 0,REN = 1时,串口开时,串口开始接收过程:始接收过程: 接收控制器先以速率为所选波特率的接收控制器先以速率为所选波特率的16倍的倍的采样脉冲对采样脉冲对RXD引脚电平进行采样,当连续引脚电平进行采样,当连续8次次采样到采样到

49、RXD线为低电平时,便可确认线为低电平时,便可确认RXD线上线上有起始位。有起始位。 此后,接收控制器就改为对第此后,接收控制器就改为对第7、8、9三个三个脉冲采样到的值进行位检测,并以三中取二原则脉冲采样到的值进行位检测,并以三中取二原则来确定所采样数据的值,如图来确定所采样数据的值,如图9-22所示。所示。 76串口接收时对串口接收时对RXD引脚电平的采样引脚电平的采样图图9-22 串口接收时对串口接收时对RXD引脚电平的采样引脚电平的采样77方式方式1接收过程接收过程 RXD上的数据被逐位移入接收移位寄存器,当接收到停上的数据被逐位移入接收移位寄存器,当接收到停止位时,将去除起始位和停止

50、位后的止位时,将去除起始位和停止位后的8位数据装入接收缓冲位数据装入接收缓冲器器SBUF,并使,并使RI = 1,向,向CPU发出串口中断请求。但此时发出串口中断请求。但此时,若,若SM2 = 1,则只有接收到有效的停止位时,则只有接收到有效的停止位时,RI才被置才被置1。 方式方式1的接收时序如图的接收时序如图9-23所示。所示。 图图9-23 串口方式串口方式1的接收时序的接收时序 783方式方式2和方式和方式3 将串行口定义为工作方式将串行口定义为工作方式2或方式或方式3时,串口被设定时,串口被设定为为11位异步通信口位异步通信口。TXD为数据发送引脚,为数据发送引脚,RXD为数为数据接

侵权处理QQ:3464097650--上传资料QQ:3464097650

【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。


163文库-Www.163Wenku.Com |网站地图|