ImageVerifierCode 换一换
格式:PPT , 页数:14 ,大小:993KB ,
文档编号:2999509      下载积分:19 文币
快捷下载
登录下载
邮箱/手机:
温馨提示:
系统将以此处填写的邮箱或者手机号生成账号和密码,方便再次下载。 如填写123,账号和密码都是123。
支付方式: 支付宝    微信支付   
验证码:   换一换

优惠套餐
 

温馨提示:若手机下载失败,请复制以下地址【https://www.163wenku.com/d-2999509.html】到电脑浏览器->登陆(账号密码均为手机号或邮箱;不要扫码登陆)->重新下载(不再收费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录  
下载须知

1: 试题类文档的标题没说有答案,则无答案;主观题也可能无答案。PPT的音视频可能无法播放。 请谨慎下单,一旦售出,概不退换。
2: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
3: 本文为用户(晟晟文业)主动上传,所有收益归该用户。163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(点击联系客服),我们立即给予删除!。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

1,本文(第14讲DSPBuilder设计实例课件.ppt)为本站会员(晟晟文业)主动上传,163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。
2,用户下载本文档,所消耗的文币(积分)将全额增加到上传者的账号。
3, 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(发送邮件至3464097650@qq.com或直接QQ联系客服),我们立即给予删除!

第14讲DSPBuilder设计实例课件.ppt

1、电子设计自动化技术电子设计自动化技术讲授:伍宗富讲授:伍宗富 E D A 技技 术术 课课 程程 教教 学学讲授:伍宗富2022年6月15日星期三湖南文理学院电气与信息工程学院电子设计自动化技术电子设计自动化技术讲授:伍宗富讲授:伍宗富第第 十四十四 讲讲 DSP Builder设计实例设计实例(1) 教学目的教学目的:使学生会用使学生会用Quartus II和和DSP Builder软件设计软件设计 DSP的常用应用模块。的常用应用模块。 教学重点教学重点:通过实例讲解通过实例讲解DSP应用模块的开发方法应用模块的开发方法。 教学难点教学难点:DSPDSP应用模块应用模块的设计步骤。的设计步

2、骤。 教学方法教学方法:讲授法、计算机辅助法。讲授法、计算机辅助法。 课时计划课时计划:2 2学时学时使用教材使用教材: SOPCSOPC技术与应用江国强技术与应用江国强 编著北京:机械工业出版社编著北京:机械工业出版社 主要参考文献主要参考文献: 1 1 刘洪涛刘洪涛ARMARM嵌入式体系结构与接口技术嵌入式体系结构与接口技术MM北京:人民邮电出版社北京:人民邮电出版社 2 2 田耘等无线通信田耘等无线通信FPGAFPGA设计设计MM北京:电子工业出版社北京:电子工业出版社 3 3 孟宪元等孟宪元等FPGAFPGA嵌入式系统设计教程嵌入式系统设计教程MM北京:电子工业出版社北京:电子工业出版

3、社 4 4 徐光辉等徐光辉等. .基于基于FPGAFPGA的嵌入式开发与应用的嵌入式开发与应用 M.M.北京:电子工业出版社北京:电子工业出版社 5 5 沈文斌沈文斌. .嵌入式硬件系统设计与开发实例详解嵌入式硬件系统设计与开发实例详解 M.M.北京:电子工业出版社北京:电子工业出版社 66周立功等周立功等SOPC嵌入式系统基础教程嵌入式系统基础教程M北京:北京航空航天大学出版社北京:北京航空航天大学出版社 7 7 王彦等王彦等. .基于基于FPGAFPGA的工程设计与应用的工程设计与应用 M.M.西安:西安电子工业出版社西安:西安电子工业出版社 8 8 周润景等基于周润景等基于Quartus

4、IIQuartusII的的FPGA/CPLDFPGA/CPLD数字系统设计实例数字系统设计实例MM北京:电子工业出版社北京:电子工业出版社 9 9 http:/www.alterahttp:/ 电子设计自动化技术电子设计自动化技术讲授:伍宗富讲授:伍宗富课题:课题: DSP Builder设计实例设计实例(1)一、七段数码管动态扫描译码电路设计一、七段数码管动态扫描译码电路设计二二、 数字频率合成器(数字频率合成器(DDS)设计)设计 三三、课堂小结课堂小结四、作业四、作业电子设计自动化技术电子设计自动化技术讲授:伍宗富讲授:伍宗富一、七段数码管动态扫描译码电路设计一、七段数码管动态扫描译码电

5、路设计1. 七段动态显示数码管七段动态显示数码管段码段码位码位码电子设计自动化技术电子设计自动化技术讲授:伍宗富讲授:伍宗富o6:0segMUXsel2:001234567n-to-1 Multiplexero7:0digSignalCompilerScopeNOTNOT7NOTNOT6NOTNOT5NOTNOT4NOTNOT3NOTNOT2NOTNOT1NOTNOTcIncrementDecrement305419896Constant12345678case01234567Case Statement16:016:14BusConversion831:031:28BusConversion

6、731:027:24BusConversion631:023:20BusConversion531:019:16BusConversion431:015:12BusConversion331:011:8BusConversion231:07:4BusConversion131:03:0BusConversion012345677:0BusBuild3:06:0Binary To Seven Segments一、七段数码管动态扫描译码电路设计一、七段数码管动态扫描译码电路设计2. 译码电路译码电路DSP Builder模型模型设定显示设定显示“12345678”的十进制代码的十进制代码递增模块递

7、增模块动态扫描频率提起动态扫描频率提起电子设计自动化技术电子设计自动化技术讲授:伍宗富讲授:伍宗富一、七段数码管动态扫描译码电路设计一、七段数码管动态扫描译码电路设计3. 译码电路译码电路Quartus工程实现工程实现动态扫描显示时刷新率最好大于动态扫描显示时刷新率最好大于50Hz,即,即每显每显示完一轮的时间不超过示完一轮的时间不超过20ms,每个数码管显示的每个数码管显示的时间时间不能太长也不能太短,时间太长可能会影响不能太长也不能太短,时间太长可能会影响刷新率,导致总体显示呈现闪烁的现象;时间太刷新率,导致总体显示呈现闪烁的现象;时间太短发光二极管的电流导通时间也就短,会影响总短发光二极

8、管的电流导通时间也就短,会影响总体的显示亮度体的显示亮度,一般控制在一般控制在1ms。VCCclrINPUTVCCclkINPUTdig7.0OUTPUTseg6.0OUTPUTNOTinst3clocksclrpdig7.0seg6.0scan_ledinst1电子设计自动化技术电子设计自动化技术讲授:伍宗富讲授:伍宗富 D/A转换器使用的转换器使用的是是TI公司的公司的125 MSPS单路单路10bit器器件件THS5651A(其(其有管脚兼容的有管脚兼容的200MSPS器件器件DAC900)。)。二、数字频率合成器(二、数字频率合成器(DDS)设计)设计v 设使用设使用DDS的方法设计一

9、个任意频率(的方法设计一个任意频率(0Hz7.5MHz)的正弦信号发生器)的正弦信号发生器1. dds_test接口模块接口模块clockkey7.0fword31.0seg7.0dig7.0ddstestinst Key1Key8输入输入DDS频频率字,由数码管率字,由数码管18显显示(示(8位位16进制数的频进制数的频率字)率字), fword输出输出频频率控制字。率控制字。电子设计自动化技术电子设计自动化技术讲授:伍宗富讲授:伍宗富 DDS的输出频率的输出频率fout=(B/2N) fclk ; B是频率输入字, fclk是系统基准时钟的频率值,N是相位累加器的数据位宽,也是频率输入字的

10、数据位宽。 DDS的频率分辨率的频率分辨率f = fclk /2N ; DDS的频率的频率输入字输入字B = 2N ( fout/ fclk ),),要取整,否则有时会有误差。二、数字频率合成器(二、数字频率合成器(DDS)设计)设计2. DDS的基本结构的基本结构电子设计自动化技术电子设计自动化技术讲授:伍宗富讲授:伍宗富 输入:频率字输入:频率字F31.0,相位字,相位字P 15.0,幅度字,幅度字A 9.0; 输出:输出:Out1 9.0,位数和,位数和D/A匹配。匹配。 使用使用“Mask Subsystem”中的中的“Documentation”设置设置”Mask type”为为“S

11、ubsystem AlterBlockSet”(子系统子系统Altera模块集)就可以正常地生成模块集)就可以正常地生成VHDL代码。代码。二、数字频率合成器(二、数字频率合成器(DDS)设计)设计3.建立建立DDS子系统模型子系统模型1Out19:0ab b bXProduct31:0Phaseword1i15:0Phaseword+Parallel Adder Subtractor1+Parallel Adder Subtractor9:09:0LUTi31:0Freqwordz-1Delayo9:0DDSout19:019:10BusConversion131:031:22BusConv

12、ersioni9:0Amp3A9:02F31:01P15:0511*sin( 0:2*pi/(210):2*pi )+512电子设计自动化技术电子设计自动化技术讲授:伍宗富讲授:伍宗富P15:0F31:0A9:0Out19:0SubsystemSignalCompilerScope1000Constant3800000Constant20Constant0o9:0AltBus 改变改变Constant2的值,仿真频率发生变化;的值,仿真频率发生变化; 改变改变Constant3的值,仿真幅度发生变化。的值,仿真幅度发生变化。 DDS直接数字合成器,具有直接数字合成器,具有较高较高的的频率分辨率

13、频率分辨率,可以实现可以实现快速快速的频率的频率切换切换,并且在频率改变时能,并且在频率改变时能够保持相位的连续,很够保持相位的连续,很容易实现容易实现频率、相位和幅频率、相位和幅度的度的数控调制数控调制。二、数字频率合成器(二、数字频率合成器(DDS)设计)设计4. Simulink模型仿真模型仿真电子设计自动化技术电子设计自动化技术讲授:伍宗富讲授:伍宗富 1)将)将ddstest.vhd拷贝拷贝到工程目录;到工程目录; 2)在)在Altera DSP Builder库中,找到库中,找到SubSystem Builder模块,拖放此模块,拖放此DDS模型窗口中。模型窗口中。 3)打开)打开

14、SubSystem Builder ,选择选择ddstest.vhd ,建建立立系统模块。构建完整模型,并转换为系统模块。构建完整模型,并转换为Quartus 工程工程文件。文件。二、数字频率合成器(二、数字频率合成器(DDS)设计)设计5. 在在DSP Builder中使用外部的中使用外部的VHDL代码代码clockkey7.0fword31.0seg7.0dig7.0ddstestinst电子设计自动化技术电子设计自动化技术讲授:伍宗富讲授:伍宗富二、数字频率合成器(二、数字频率合成器(DDS)设计)设计6. DDS的的Quartus工程实现工程实现VCCclockINPUTVCCkey7

15、.0INPUTdig7.0OUTPUTseg7.0OUTPUTda_clkOUTPUTda_data9.0OUTPUTda_modeOUTPUTNOTinst2clockiclockkey7.0dig7.0seg7.0sinout9.0DDSoutinst1GND电子设计自动化技术电子设计自动化技术讲授:伍宗富讲授:伍宗富课堂小结课堂小结一、七段数码管动态扫描译码电路设计一、七段数码管动态扫描译码电路设计 (动态扫描每显示完一轮的时间不超过20ms,每个数码管显示的时间一般控制在1ms )二、二、 数字频率合成器(数字频率合成器(DDSDDS)设计)设计 ( DDS的设计应用等)电子设计自动化技术电子设计自动化技术讲授:伍宗富讲授:伍宗富课外作业:课外作业: (1)请根据自已的实践写出)请根据自已的实践写出 DDS的设计步骤和画出的设计步骤和画出 DDS实现电路图;实现电路图; (2)上机操作实践。)上机操作实践。

侵权处理QQ:3464097650--上传资料QQ:3464097650

【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。


163文库-Www.163Wenku.Com |网站地图|