ImageVerifierCode 换一换
格式:PPT , 页数:71 ,大小:4.55MB ,
文档编号:3167698      下载积分:28 文币
快捷下载
登录下载
邮箱/手机:
温馨提示:
系统将以此处填写的邮箱或者手机号生成账号和密码,方便再次下载。 如填写123,账号和密码都是123。
支付方式: 支付宝    微信支付   
验证码:   换一换

优惠套餐
 

温馨提示:若手机下载失败,请复制以下地址【https://www.163wenku.com/d-3167698.html】到电脑浏览器->登陆(账号密码均为手机号或邮箱;不要扫码登陆)->重新下载(不再收费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录  
下载须知

1: 试题类文档的标题没说有答案,则无答案;主观题也可能无答案。PPT的音视频可能无法播放。 请谨慎下单,一旦售出,概不退换。
2: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
3: 本文为用户(三亚风情)主动上传,所有收益归该用户。163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(点击联系客服),我们立即给予删除!。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

1,本文(微处理器与微型计算机第5章-输入输出接口技术课件.ppt)为本站会员(三亚风情)主动上传,163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。
2,用户下载本文档,所消耗的文币(积分)将全额增加到上传者的账号。
3, 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(发送邮件至3464097650@qq.com或直接QQ联系客服),我们立即给予删除!

微处理器与微型计算机第5章-输入输出接口技术课件.ppt

1、输入输出传送方式3I/O端口地址译码技术21 第5章 输入输出接口技术 微机接口概述1(1)微机的硬件结构微机的硬件结构2 5.1 微机接口 概述 数据总线(DB)控制总线(CB)地址总线(AB)中央 处理器(CPU)内存储器 I/O 接口 I/O 接口 I/O 设备 I/O 设备 CPU,微机的核心,基本功能:执行指令、控制和协调其它部件工作,进行数据运算和传输。存储和记忆装置,存储数据和程序。用于人机交互、数据和程序的输入、结果的输出。如:key,mouse,screen,printer。微机和外设间的中转站,在它们之间传输数据、状态和控制信息。(1)微机接口的概念微机接口的概念CPU与外

2、设间需频繁的进行信息交互交互。数据、程序和各种信息数据、程序和各种信息需通过外设输入到计算机内;计算机内的各种信息及运行结果各种信息及运行结果需通过外设进行输出。CPU与外设在速度、信号形式等方面有差异差异。接口:全称是输入输入/输出接口输出接口,简称I/O接口。它位于系系统总线统总线与外设外设之间,是CPU与外设的中转站。3因此,需要一种中间设备,即:因此,需要一种中间设备,即:接口接口。4n微机接口要解决的问题微机接口要解决的问题l速度匹配问题速度匹配问题。高速的CPU/低速的外设。l信号电平与驱动能力问题信号电平与驱动能力问题。CPU的TTL电平与低功率/外设的宽范围电平与大功率。l信号

3、类型匹配问题信号类型匹配问题。CPU数字量/外设模拟量。l信号格式问题信号格式问题。CPU并行数据/外设串行数据。l时序匹配问题时序匹配问题。CPU时序/外设时序。5(2)微机接口的功能接口电路用来有效地完成接口电路用来有效地完成CPU与外设的与外设的信息交换信息交换,协调协调CPU和外设之间的工作。和外设之间的工作。n功能包括:功能包括:lI/O地址译码与设备选择功能地址译码与设备选择功能所有外设都通过I/O接口挂接在系统总线上。同一时刻,总线只允许一个外设与CPU进行数据传递。只有通过地址译码被选中的I/O接口,才允许与总线相通;未被选中的I/O接口为高阻态,与总线隔离。l信息的输入信息的

4、输入/输出、缓冲与锁存功能输出、缓冲与锁存功能通过I/O接口,CPU可从外设输入各种信息,也可将处理结果输出到外设。由于CPU与外设存在时序和速度的差异差异,为确保两者间可靠传输信息,输入时需缓冲,输出时需锁存。6(1)微机接口的功能微机接口的功能l信号格式转换功能信号格式转换功能I/O接口要实现信息格式变换、电平转换、码制转换等功能。l联络功能联络功能接口从系统总线或外设收到数据时,能发出“数据到数据到”联络信号,通知CPU或外设将数据取走。数据传输完成后,发出“传输完传输完”信号,准备下次传输。l中断管理功能中断管理功能向CPU申请中断,发中断类型号以及中断优先权管理等。l其它功能其它功能

5、复位、错误检测、可编程功能(设定工作方式、工作参数等)。7l专用接口专用接口为某种用途或某类外设而专门设计的接口电路。通常制造成接口卡,插在主板上使用。科研项目举例科研项目举例l通用接口通用接口可供多种外设使用的标准接口。使用广泛,通常制造成集成电路芯片,称为接口芯片接口芯片。最初微机使用了6块芯片,后来将这些芯片集成为大规模集成电路芯片,称为芯片组芯片组。微机系统的南北桥芯片。如图所示。8(3)微机接口的分类9l智能接口智能接口具有处理能力处理能力的接口。单片机、DMA控制器或协处理器。l可编程接口可编程接口接口的功能可编程设定。FPGA/CPLD+VHDL。l简单接口简单接口指使用三态缓冲

6、器、锁存器、门电路以及D触发器等实现的简单接口。特点:不具备编程能力不具备编程能力,一旦设计制造完毕,其功能就不能改变。灵活性不足灵活性不足。10硬件电路组成硬件电路组成11(3)微机接口的组成 l数据缓冲数据缓冲/锁存器锁存器 连接系统总线,起缓冲和驱动作用。74LS244/245/573等。输入缓冲输入缓冲:暂存外设送来的信息。输出锁存输出锁存:暂存CPU送往外设的数据。lI/O端口端口 接口内部包含一个或多个CPU可进行读写的寄存器可进行读写的寄存器,称为I/O端口寄存器,简称I/O端口。数据端口数据端口:用于暂存CPU与外设间传送的数据。状态端口状态端口:用于暂存外设的状态。状态信息编

7、码称为状态字状态字。控制端口控制端口:暂存CPU对外设的控制信息信息。控制信息编码称为控制字控制字或命令字命令字。12l端口地址译码端口地址译码CPU访问外设时,向系统地址总线发送要访问的端口地址,译码电路根据该地址产生相应的选通信号,选中某端口进行操作。Map举例:地址译码信号举例:地址译码信号。l读读/写写/中断控制逻辑中断控制逻辑根据CPU发出的读、写和中断控制信号,以及外设发出的应答信号,产生内部各端口的读、写控制信号。13 每个每个I/O端口都有端口都有唯一的地址唯一的地址,CPU以以端口地址端口地址来区分不同的端口。来区分不同的端口。CPU对外设的各种操作,最终都对外设的各种操作,

8、最终都归结归结为对接口中各端口的读为对接口中各端口的读/写操作。写操作。科研项目:科研项目:PC104l接口软件接口软件接口硬件设计好后,CPU与外设间的数据交换要在程序的控制下程序的控制下来实现。该控制程序称为接口软件接口软件,或设备驱动程序设备驱动程序。Windows,Linux,DOS(汇编环境,BC/TC)。l接口软件的构成接口软件的构成初始化程序段初始化程序段:设置接口的工作方式、初始工作条件等。启动和终止程序段启动和终止程序段:有些接口需要命令字启动,如A/D转换;有些接口需要结束命令字,如中断结束命令EOI。数据输入、输出程序段数据输入、输出程序段:CPU与外设间的数据输入输出操

9、作。辅助程序段辅助程序段:实现人机对话、计算及结果保存等功能。14接口的软件组成n基本概念基本概念l接口内部包含一个或多个CPU可进行读写的寄存器,这些寄存器称为I/O端口。数据端口数据端口、状态端口状态端口和控制端口控制端口。lCPU对外设的各种操作,最终都归结为对接口中各端口的读/写操作,而不是直接对外设进行操作。l为了区分不同的端口,需为每个端口分配一个地址编号地址编号,称为I/O端口地址端口地址。l由于CPU地址总线既连接到存储器又连接到I/O端口,因此,需要一种机制来区分区分和寻址寻址要操作的内存单元或I/O端口,这种机制称为编址方式编址方式。l常用的两种I/O编址方式:I/O端口与

10、内存统一编址统一编址;I/O端口与内存独立编址独立编址。5.2 I/O端口地址译码技术15l基本内容基本内容I/O端口与内存单元统一进行地址分配统一进行地址分配,使用统一的指令统一的指令访问I/O端口或者内存单元。又称存储器映射编址方式存储器映射编址方式。Motorola公司的68系列、Apple系列微机即是统一编址。(1)I/O端口与内存统一编址16l优点优点把I/O端口当做存储单元来看待,可使用访存指令来访问I/O端口,故无需专门的无需专门的 I/O 指令指令。访存指令种类多访存指令种类多,功能全,可为访问外设提供方便。I/O控制信号可与存储器的控制器信号共用,应用方便。I/O端口数目几乎

11、不受限制。l缺点缺点I/O端口与内存单元共同统一的地址空间,相对减少了减少了内存可用的地址范围。从指令形式上不易区分不易区分访存还是访问外设,程序可读性低。访存指令比专用I/O指令长指令长,译码较为复杂,执行速度较慢。17l基本内容基本内容I/O端口与内存单元有各自独立的地址空间各自独立的地址空间,访问I/O端口使用专专门的门的I/O指令指令。又称I/O映射编址方式。8086/8088 CPU、IBM-PC系列系列和Z80系列机采用独立编址。(2)I/O端口与内存独立编址18l优点优点I/O端口与内存空间相对独立,I/O端口单独编址,不占用内存不占用内存空间。由于使用了专门的I/O指令,指令简

12、短指令简短,译码简单,速度快。I/O指令与访存指令区别明显指令区别明显,程序可读性强。l缺点缺点专用的I/O指令增加了指令系统的复杂性指令系统的复杂性,且指令类型少,功能弱,程序设计灵活性差。CPU需提供I/O读写与存储器读写2套控制信号套控制信号,增加了控制逻辑的复杂性。19l指令类型指令类型IN:从I/O端口读入数据到微处理器的累加器中。OUT:将微处理器累加器中的数据写入到I/O端口。注意:数据只在累加器(注意:数据只在累加器(AX或或AL)和端口间进行传递)和端口间进行传递。原则:传输字节数据字节数据时使用AL;传输字数据字数据时使用AX。l寻址方式寻址方式直接寻址直接寻址:当端口地址

13、 0FFH时,采用直接寻址,即在指令中直接写明端口地址。(也可用间接寻址方式)间接寻址间接寻址:当端口地址 0FFH时,采用间接寻址,使用DX存放端口地址。(3)独立编址下的I/O指令20l直接寻址指令格式直接寻址指令格式【例5-1】输入:IN AL/AX,port ;port是8位地址,0FFH 输出:OUT port,AL/AX21IN AL,2CH ;从2CH端口读入?到AL中IN AX,5BH ;从5BH端口读入?到AX中OUT 61H,AL ;将AL中的?写入到61H端口中OUT 1DH,AX ;将AX中的?写入到1DH端口中l间接寻址指令格式间接寻址指令格式 输入:MOV DX,p

14、ort ;port是16位地址,0FFH IN AL/AX,DX 输出:MOV DX,port OUT DX,AL/AX22判断下列指令格式是否正确。判断下列指令格式是否正确。(1)从218H端口读入一个字数据。IN AL,218H(2)将一个字节的数据写入到 254 端口。OUT 254H,AX23MOV DX,218HIN AL,DXMOV DX,254HOUT DX,AXOUT 254,AL 或OUT 0FEH,ALl标准标准C(头文件(头文件dos.h)inportb(int port)。outportb(int port,unsigned char value)inportw,out

15、portw。lVC+(头文件(头文件conio.h)int _inp(unsigned short port);int _outp(unsigned short port,int datatype);(4)高级语言下的输入输出操作【补充】24l高级语言编程举例高级语言编程举例利用 inportb()函数从端口2F0H读取一个字节的数据,并显示在屏幕上。main()unsigned char c;c=inportb(0 x2f0);printf(“%xn”,c);将数据0 x55输出到360H端口。main()outportb(0 x360,0 x55);25(1)I/O端口地址线端口地址线l8

16、0 x86系列PC采用独立编址方式,I/O地址线有16根,对应64K空间。l实际微机主板上仅使用A9A0 10根地址线,共1024个端口,地址范围为000H03FFH。l根据其复杂程度和应用形式,PC系列微机中I/O接口硬件电路可分为2类:系统板上的系统板上的 I/O 接口芯片接口芯片:大多是可编程大规模集成电路,如定时/计数器,中断控制器,DMA控制器,并口等。扩展槽上的扩展槽上的 I/O 接口控制卡接口控制卡:如图形卡、声卡等。5.2.2 I/O端口地址分配 26I/O接口名称接口名称PC/XTPC/ATDMA控制器10000 001FH0000 001FHDMA控制器200C0 00DF

17、HDMA页面寄存器0080 0083H0080 009FH中断控制器10020 0021H0020 0021H中断控制器200A0 00BFH定时器0040 0043H0040 005FH并行接口芯片0060 0063H键盘控制器0060 006FHRT/CMOS RAM0070 007FHNMI屏蔽寄存器00A0H 00BFH协处理器00F0 00FFH(2)系统板上的I/O接口芯片端口地址分配27I/O接口名称接口名称PC/XTPC/AT硬驱控制卡0320 032FH01F0 01FFH游戏控制卡0200 020FH0200 020FH扩展器/接收器0210 021FH并行口控制卡1037

18、0 037FH0370 037FH并行口控制卡20270 027FH0270 027FH串行口控制卡103F8 03FFH03F8 03FFH串行口控制卡202F0 02FFH02F0 02FFH原型插件板(用户可用)0300 031FH0300 031FH同步通信卡103A0 03AFH03A0 03AFH同步通信卡20380 038FH0380 038FH单显DMA03B0 03BFH03B0 03BFH彩显CGA03D0 03DFH03D0 03DFH彩显EGA/VGA03C0 03CFH03C0 03CFH软驱控制卡03F0 03F7H03F0 03F7H(3)扩展槽上的I/O接口控制

19、卡端口地址分配28允许用户使用允许用户使用原型插件板原型插件板(用户可用用户可用)0300 031FH0300 031FH系统配置占用了的端口地址不能使用。计算机厂家声明保留的地址不能使用。用户可使用30031FH的地址。但为了避免与其它用户开发的接口卡发生冲突,最好采用DIP开关进行地址设置。(4)I/O端口地址选用原则29可通过可通过“附件附件”“系统信息系统信息”“硬件资源硬件资源”“I/O”选项来查看选项来查看 I/O 端口的地址分配状况端口的地址分配状况(1)基本概念与原理基本概念与原理l基本概念基本概念 当执行I/O指令时,CPU首先在总线上发出要访问的端口地址和必要的控制信号,然

20、后通过一个转换电路转换电路将这些信号转换为相应的I/O端口选通信号。这个转换过程转换过程就是I/O端口地址译码,完整这个过程的转换电路称为I/O端口地址译码电路。l译码信号译码信号译码的实质译码的实质:对地址线和控制信号进行逻辑组合逻辑组合,产生相应的选通信号 ,低电平有效。参与译码的主要信号参与译码的主要信号:地址信号线A9A0,控制信号 ,(非DMA传送)。5.2.3 I/O端口地址译码30CSIORIOWAEN原理原理把地址线分为高低两部分,分别用于片间寻址和片内寻址。片间寻址片间寻址:高位地址线与CPU的控制信号相结合,经译码电路产生I/O接口芯片的片选信号 ,实现片间寻址。通常都由接

21、口芯片外部外部的译码电路来完成。片内寻址片内寻址:低位地址线不参加片间寻址译码,而是直接连接到I/O接口芯片,实现芯片内部的片内端口寻址。通常由接口芯片内部内部的地址译码电路来完成。用于片内寻址的低位地址线条数低位地址线条数取决于接口内端口的数目。一般地,低位地址线数=。例:一个接口内部有3个端口,A9A8A7A6A5A4A3A2A1A0。31CS2log()端口数32译码方式译码方式按照地址译码电路采用的元器件元器件,分为:门电路译码专用译码器译码开关式译码可编程逻辑器件译码按照译码电路的形式形式,分为:固定式译码可选式译码33 特点特点:接口中的端口地址固定地址固定,不能修改。一般接口卡中

22、大多采用该形式。单端口地址译码接口中只有一个端口地址。多采用与、或、非门等基本门电路门电路来实现。多端口地址译码系统中有多个接口芯片,或有多个端口(连续范围)。多采用集成的译码器集成的译码器来实现,如74LS138,74LS154等。(2)I/O端口地址的固定式译码34门电路译码门电路译码常用的逻辑门符号与门或门非门常用的门电路集成芯片74LS04:反相器(6门集成)74LS08:与门(4门集成)74LS20:4输入与非门(2门集成)74LS30:8输入与非门(1门集成)74LS32:2输入或门(4门集成)35【例5-2】单端口单端口地址为2F8H的只读只读端口译码电路。36采用标准集成电路的

23、电路形式。37【例5-3】分析该译码电路的功能。38l 可进行读/写操作、端口地址为2F8H的译码电路l IOR有效时从端口读数据;IOW有效时向端口写数据【例5-4】某接口芯片内部有4个端口,地址范围为2F0H 2F3H。请使用门电路为其设计一个端口地址译码电路。分析:分析:地址范围为2F0H 2F3H,故CPU访问该接口芯片时,地址线上的信号为:可用A1A0作为端口选择;将高位地址线A9 A2 和AEN信号组合起来,用门电路产生一个低有效的接口芯片片选信号CS。39地址线0 0 A9 A8 A7 A6 A5 A4A3 A2 A1 A0值0 0 1 01 1 1 10 0 x xxx=00,

24、01,10,11,对应4个端口40译码器译码译码器译码多端口时(有多个接口芯片,或接口芯片有多个端口)常采用专用译码器译码。常用的译码器74LS138:3-8译码器74LS139:双2-4译码器74LS154:4-16译码器41n74LS138译码器工作原理译码器工作原理42Y0Y7ABCG2BG2AG11514131211109712345674LS138n74LS139译码器工作原理译码器工作原理43n74LS154译码器工作原理译码器工作原理44【例5-3】已知并行接口芯片8255A有4个端口,片选信号CS 低电平有效。试用74LS138设计一个译码电路,使该芯片的4个端口地址为2F0H

25、 2F3H。分析:分析:4个端口,可用低位地址A1A0进行片内译码片内译码,而高位地址A9A2和AEN则用于片选。为使4个端口的地址为2F0H 2F3H,地址线为:45地址地址A9A8A7A6A5A4A3A2A1A0AEN2F0H101111000002F3H10111100110l G,G2A,G2Bl C,B,AGGG2BG2AC B A46【例5-5】设计一个译码电路,要求产生2A8H2AFH共8个端口的选通信号。分析:分析:多端口,采用译码器实现。可选用74LS138译码器输出8个信号。地址范围为2A8H 2AFH,故地址线为:47地址地址A9A8A7A6A5A4A3A2A1A0AEN

26、2A8H101010100002AFH10101011110l G,G2A,G2Bl C,B,AC B AG2BG2AG2BG2BGGGG48ABY0Y1Y2Y3CG2AG2BG1Y4Y5Y6Y774LS138A0A1A2A4A6A8A3A5A7A9AEN2A8H2A9H2AAH2ABH2ACH2ADH2AEH2AFH【例5-6】请设计一个系统板上接口芯片系统板上接口芯片的I/O端口地址译码电路,并且让每个接口芯片内部的端口数目为32个。分析:分析:每个接口芯片内部的端口数目为32个,故可用低5位地址A4A0进行片内寻址片内寻址。系统板上的接口芯片,其端口地址分配范围为0000FFH,故仅用低

27、8位地址译码即可,A9A8=00。系统板上有8253、8259、8237、8255 等接口芯片,可用其余的地址线A7A5通过74LS138译码器进行片选。访问系统板I/O接口芯片时,CPU控制总线,即非非DMA操作操作,且为I/O访问访问,故 和 可参与译码。控制G端。49AENAENIO/M1AENIO/MAEN50倒推分析l A4A0可用于片内寻址。l A9A8=00,可用于控制74LS138的 G2A 和 G2B 端。G端由组合信号 AEN控制(非DMA操作,且为I/O操作)。l A7A5 可用于对8253、8255等进行片选,作为C,B,A输入。51地址线A9A8A7A6A5A4A3A

28、2A1A0地址范围地址范围823700000 xxxxx000 01FH825900001xxxxx020 03FH825300010 xxxxx040 05FH825500100 xxxxx060 07FH结论:结论:通过倒推分析,每个接口内部有32个端口,各接口芯片的地址范围同表2.2一致。译码电路总的地址范围总的地址范围为0000FFH(后续的自行分析),同系统板的地址范围一致。【例5-7】已知某微机系统硬件如下图。编程实现:当端口2中最高位为1时,从端口1中读数。A2A1A0选择端口07。52分析:分析:要使接口芯片的CS信号有效,3-8译码器的Y0 端须有效。此时A8A6A4=000

29、,A9A7A5A3=1111。访问内部端口2时,A2A1A0=010,故端口2的地址为:访问内部端口1时,A2A1A0=001,故端口2的地址为:53地址线A9A8A7A6A5A4A3A2A1A0地址端口端口210101010102AAH地址线A9A8A7A6A5A4A3A2A1A0地址端口端口110101010012A9H已知端口1和端口2的地址,可根据题意编写程序如下:54 MOV DX,2AAH;读端口2数据L1:IN AL,DX TEST AL,10000000B ;判断端口2的最高位是否为1 JZ L1 MOV DX,2A9H;从端口1读取数据 IN AL,DX 特点:接口中的端口地

30、址具有一定的可变性可变性。可根据要求改变端口地址,而无需修改硬件电路无需修改硬件电路。当用户要求接口卡的端口地址能够适应不同的地址场合,或为系统以后扩充留有余地时,可用此方式。常用的实现方法比较器地址开关跳线器(3)I/O端口地址的可选式译码55n比较器比较器l常用的比较器74LS85:4位比较器74LS688:8位比较器位比较器l比较器译码原理比较器的 P7P0 输入端连系统总线,Q7Q0 输入端与配置寄存器相连。当P70=Q70 时,输出低电平。否则,输出高电平。5657l 芯片地址可通过寄存器U1进行配置。l 端口内部地址可通过A2A1A0进行选择。n地址开关地址开关58n跳线开关跳线开

31、关l每个输入可接反相器可接反相器或者不接不接,有2种选择。10位地址线可有1024种选择。可通过此改变译码结果。59由于读、写操作不会同时进行,一个输入端口和另一个输出端口可以使用同一个地址编码。l一般情况下:数据输入端口数据输入端口和数据输出端口数据输出端口使用同一地址。命令端口命令端口(输出)和状态端口状态端口(输入)可使用同一个地址。P79 l科研项目举例PC104 端口使用说明文档端口使用说明文档(4)I/O端口地址的共用6061【例5-8】下图为用两级译码器组成的端口地址译码电路。问题:(1)开关K上合时,寻址范围是_。(2)开关K下合时,寻址范围是_。(3)开关K下合,A3改接B,

32、A4改接A,则寻址范围是_。6263地址线A9A8A7A6A5A4A3A2A1A0地址范围开关上合开关上合1000110 xxx230 237H开关下合开关下合1111110 xxx3F0 3F7H开关下合开关下合A3 A4对调对调1111101xxx3E8 3EFH(1)两种数据交换类型两种数据交换类型lCPU与内存间的数据交换可在一个总线周期内完成。lCPU与外设间的数据交换CPU从外设读入一个数据后,要等待该外设准备好第二次数准备好第二次数据据后才能继续读入。等待的时间与设备的工作速度设备的工作速度及用户的操作用户的操作等因素有关。如等待用户的敲键,用户两次击键的时间间隔不确定用户两次击

33、键的时间间隔不确定。64 5.3 CPU与I/O间的数据交换方式简单复杂n程序控制方式程序控制方式(PIO):在:在程序控制下程序控制下进行传送进行传送l无条件传送无条件传送(同步传送同步传送)始终假定外设已准备好外设已准备好,不查询外设不查询外设的状态,直接直接用I/O指令在CPU和外设间传送数据。特点:程序简单程序简单,前提:外设已准备好。多用于驱动 LED等简单场合。65(2)CPU与外设的 4 种数据交换方式66l查询传送方式查询传送方式 传送数据前,先查询外设状态。当外设准备好时才进行数据传输,否则CPU一直等待并查询外设状态。缺点:CPU大部分时间都在轮询外设,效率低下效率低下。适

34、用于速度要求不高的场合。6768n中断方式中断方式 l基本原理:三部曲基本原理:三部曲当外设未准备好时CPU不去查询和等待不去查询和等待,而是去运行其它程序。当外设准备好时,它向CPU发送中断请求。若CPU响应中断,则暂停执行当前程序,而转去处理转去处理外设对应的中断服务程序。中断服务程序处理完毕后,CPU又回到原来的程序回到原来的程序继续执行。l特点特点CPU和外设可并行工作和外设可并行工作。既提高了CPU效率,又能使外设的服务请求得到及时响应,适合在实时性实时性较高的系统中使用。中断方式需要中断逻辑电路的支持,硬件比较复杂硬件比较复杂。中断方式仍是CPU通过程序来传送数据,每传送一个字节都

35、需要CPU的参与。对于高速外设或批量传输高速外设或批量传输数据的场合,速度太慢。69nDMA方式方式(Direct Memory Access)l基本原理基本原理数据传输不通过CPU,而是由专门的硬件在外设与内存间直接外设与内存间直接进行数据传输。专门的硬件称为DMA控制器控制器,简称DMAC。DMA传输时,DMAC向CPU提出总线请求,CPU响应后将总线控制权总线控制权交给DMAC,由DMAC控制外设与内存间的数据传输。DMA方式下,除CPU外,DMAC也是主控设备主控设备。l特点特点由专门的硬件负责传输,减轻了CPU的负担,提高了传输效率。DMA方式要求设置DMA控制器,硬件电路复杂,开销大。适合于批量数据的高速传输批量数据的高速传输。70n通道方式通道方式l由专门的通道命令负责数据传输。n外围处理机方式外围处理机方式l接近一般的处理机,甚至就是小型机或微机。u微机接口的发展历程微机接口的发展历程u微机接口的发展方向微机接口的发展方向更加标准化、多功能化、高度集成化、智能化。71固定式的固定式的简单接口简单接口功能强大的功能强大的智能接口智能接口可编程的可编程的复杂接口复杂接口

侵权处理QQ:3464097650--上传资料QQ:3464097650

【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。


163文库-Www.163Wenku.Com |网站地图|