ImageVerifierCode 换一换
格式:PPT , 页数:50 ,大小:4.56MB ,
文档编号:3376877      下载积分:25 文币
快捷下载
登录下载
邮箱/手机:
温馨提示:
系统将以此处填写的邮箱或者手机号生成账号和密码,方便再次下载。 如填写123,账号和密码都是123。
支付方式: 支付宝    微信支付   
验证码:   换一换

优惠套餐
 

温馨提示:若手机下载失败,请复制以下地址【https://www.163wenku.com/d-3376877.html】到电脑浏览器->登陆(账号密码均为手机号或邮箱;不要扫码登陆)->重新下载(不再收费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录  
下载须知

1: 试题类文档的标题没说有答案,则无答案;主观题也可能无答案。PPT的音视频可能无法播放。 请谨慎下单,一旦售出,概不退换。
2: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
3: 本文为用户(三亚风情)主动上传,所有收益归该用户。163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(点击联系客服),我们立即给予删除!。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

1,本文(chapter6数字IC后端流程课件.ppt)为本站会员(三亚风情)主动上传,163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。
2,用户下载本文档,所消耗的文币(积分)将全额增加到上传者的账号。
3, 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(发送邮件至3464097650@qq.com或直接QQ联系客服),我们立即给予删除!

chapter6数字IC后端流程课件.ppt

1、2022-8-81CMOS集成电路版图集成电路版图邓军勇邓军勇029-85383437-概念、方法与工具概念、方法与工具第第6 6章章 数字数字ICIC后端流程后端流程CMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-82数字数字IC后端流程后端流程 PlacementDesign planningCTS RouteDFM&Chip Finishing Data SetupCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-83基于基于ICC的数字的数字IC后端设计流程后端设计流程Use IC Compiler to

2、 perform placement,DFT,CTS,routing and optimization,achieving timing closure for designs with moderate to high design challenges.CMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-84基于基于ICC的数字的数字IC后端设计流程后端设计流程There is no“golden script”for physical designCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-85Data

3、Setup布局布线的准备工作,读入网表,跟Foundry提供的STD Cell、Pad库以及Macro库进行映射。CMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-86Data Setup后端设计数据准备后端设计数据准备设计网表 gate-level netlist设计约束文件 SDC file物理库文件 sc.lef/io.lef/macro.lef时序库文件 sc.lib/io.lib/macro.libI/O文件 I/O constraints file(.tdf)工艺文件 technology file(.tf)RC模型文件 TLU+CMOS集成

4、电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-87Data SetupLogical LibrariesProvide timing and functionality information for all standard cells(and,or,flipflop,)Provide timing information for hard macros(IP,ROM,RAM,)Define drive/load design rules:Max fanout Max transition Max/Min capacitanceAre usually the

5、same ones used by Design Compiler during synthesisAre specified with variables:target_library link_libraryCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-88Data Setup逻辑单元库:一个完整的单元库由不同的功能电路所组成,种类和数量很多,根据其应用可分为三类:标准单元(standard cells)组合逻辑时序逻辑模块宏单元(macro block)ROMRAM专用模块(如ASSP、DSP等)Black box商业IP(如ARM、标准单元等

6、)模拟模块(如PLL、振荡器等)输入输出单元(I/O pad cell)输入输出三态双向考虑考虑ESDCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-89Data SetupPhysical Reference LibrariesCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-810Data Setup物理单元库:和逻辑单元库分类相同,但也包括一些特殊单元,在后端物理实现中的作用有别于其他逻辑电路填充单元(filler/spacer)I/O spacer用于填充I/O单元之间的空隙以形成power ring标准

7、单元filler cell与逻辑无关,用于把扩散层连接起来满足DRC规则和设计需求,并形成power rails电压钳位单元(tie-high/tie-low)二极管单元(diode),对违反天线规则的栅输入端加入反偏二极管,避免天线效应将栅氧击穿时钟缓冲单元(clock buffer/clock inverter):为最小化时钟偏差(skew),插入时钟缓冲单元来减小负载和平衡延时延时缓冲单元(delay buffer):用于调节时序阱连接单元(well-tap cell):主要用于限制电源或地与衬底之间的 电阻大小,减小latch-up效应电压转换单元(level-shifter):多用于

8、低功耗设计CMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-811Data Setup库文件时序库:描述单元库中各个单元时序信息的文件。时序库:描述单元库中各个单元时序信息的文件。(.lib库)库)单元延时单元延时互连线延时互连线延时物理库:是对版图的抽象描述,她使自动布局布线成物理库:是对版图的抽象描述,她使自动布局布线成为可能且提高了工具效率(为可能且提高了工具效率(.lef库),包含两部分库),包含两部分技术技术LEF:定义布局布线的设计规则和:定义布局布线的设计规则和foundry的工艺信息的工艺信息单元单元LEF:定义:定义sc、macro、I

9、/O和各种特殊单元的物理信息,和各种特殊单元的物理信息,如对称性、面积大小、布线层、不可布线区域、天线效应参如对称性、面积大小、布线层、不可布线区域、天线效应参数等数等CMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-812Data SetupThe Technology File(.tf file):The technology file is unique to each technology;Contains metal layer technology parameters:Number and name designations for eac

10、h layer/via Physical and electrical characteristics of each layer/via Design rules for each layer/Via(Minimum wire widthsand wire-to-wire spacing,etc.)Units and precision for electrical units Colors and patterns of layers for display CMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-8131.Specify the Logi

11、cal LibrariesCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-8142.Define logic0 and logic1CMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-8153.Create a“Container”:The Design LibraryCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-8164.Specify TLU+Parasitic RC Model FilesTLU+is a binary table format that store

12、s the RC coefficientsCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-817Timing is Based on Cell and Net DelaysCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-8185.Create Design CELCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-8196.Verify Logical Libraries Are LoadedCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系202

13、2-8-8207.Define Logical Power/Ground ConnectionsCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-8218.Apply and Check Timing ConstraintsCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-8229.Remove Unwanted“Ideal Net/Networks”CMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-82310.Save the DesignIts good practice

14、 to save the design after each key design phase,for example:data setup,design planning,placement,CTS and routing:Note:The open cell is still the original ORCA cell!save_mw_cel as ORCA_data_setupCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-824数字数字IC后端流程后端流程 PlacementDesign planningCTS RouteDFM&Chip F

15、inishing Data SetupCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-825Design Planning芯片设计的物理实施通常被简称为布局布线芯片设计的物理实施通常被简称为布局布线(P&R,Place-and-Route),而),而P&R之前的大之前的大量工作,包括量工作,包括Data Setup、Floor-plan、power-plan亦非常关键。亦非常关键。布图规划的主要内容包括芯片大小(布图规划的主要内容包括芯片大小(die size)的规划、的规划、I/O规划、大量硬核或模块(规划、大量硬核或模块(hard core、blo

16、ck)的规划等,是对芯片内部结构的完整规)的规划等,是对芯片内部结构的完整规划和设计。划和设计。布图规划的合理与否直接关系到芯片的时序收布图规划的合理与否直接关系到芯片的时序收敛、布线通畅(敛、布线通畅(timing and routability)。)。Create a floorplan that is likely to be routable and achieve timing closureCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-826ICC TerminologyDesign planning is the iterative p

17、rocess of creating a floorplan。A chip-level floorplan entails defining:Core size,shape and placement rows Periphery:IO,power,corner and filler pad cell locations Macro cell placement Power grid(rings,straps,rails)A physical design,or layout,is the result of a synthesized netlist that has been placed

18、 and routedCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-827Create Physical-only Pad CellsPhysical-only pad cells(VDD/GND,corner cells)are not part of the synthesized netlist Must be created prior to specifying the pad cell locationsopen_mw_cel DESIGN_data_setupcreate_cell vss_l vss_r vss_t vss_b pv0

19、icreate_cell vdd_l vdd_r vdd_t vdd_b pvdicreate_cell CornerLL CornerLR CornerTR CornerTL pfrelrCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-828Specify Pad Cell LocationsCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-829Initialize the FloorplanCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-830Core Area P

20、arametersCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-831Floorplan After InitializationCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-832Insert Pad Filler Cellsinsert_pad_filler cell“fill5000 fill2000 fill1000.CMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-833Constraining Macros:ManuallyCMOS集成电路版图集成电路版图

21、西安邮电大学微电子学系西安邮电大学微电子学系2022-8-834Macro Constraints:Anchor Bound OptionCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-835Macro Constraints:Side Channel OptionSide channels are regions along the core edges where placement of macros is not allowed.set_fp_macro_array name ARRAY_A elements get_cells“A1 A2 A

22、3”set_fp_macro_options ARRAY_A side_channel“0 80 30 40”CMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-836电源规划电源规划电源规划是给整个芯片的供电设计出一电源规划是给整个芯片的供电设计出一个均匀的网络。个均匀的网络。电源预算(电源预算(power budgeting),商用惯),商用惯例为误差在例为误差在5%,包括,包括从电源网络和PCB板级到封装bonding之间的波动(1%)电源I/O单元和电源环之间的波动(1%)最终到sc之间的电压降(3%)CMOS集成电路版图集成电路版图西安邮电大学微

23、电子学系西安邮电大学微电子学系2022-8-837电源网络设计电源网络设计全局电源全局电源电源环线(电源环线(power ring)指为了均匀供)指为了均匀供电,包围在电,包围在sc周围的环形供电金属,用于周围的环形供电金属,用于连接电源连接电源I/O单元和单元和sc的的followingpins电源条线(电源条线(power strips)指芯片内部纵)指芯片内部纵横交错的电源网格(横交错的电源网格(power grid)CMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-838Power planCMOS集成电路版图集成电路版图西安邮电大学微电子学系西

24、安邮电大学微电子学系2022-8-839Write Out Floorplan and DEF Files设计交换格式设计交换格式DEF(design exchange format)文件是由)文件是由Cadence公司开发的用于公司开发的用于描述文件物理设计信息的一种文件格式。描述文件物理设计信息的一种文件格式。DEF描述了芯片的描述了芯片的die area、row、tracks、components、nets等等对于设计者而言,有了对于设计者而言,有了LEF和和DEF文件就可以完整的了解一个设计文件就可以完整的了解一个设计CMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微

25、电子学系2022-8-840数字数字IC后端流程后端流程 PlacementDesign planningCTS RouteDFM&Chip Finishing Data SetupCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-841Placement布局的主要任务是布局的主要任务是sc的摆放和优化的摆放和优化布局算法一直是布局算法一直是EDA设计中的研究重点,目前仍在发展。设计中的研究重点,目前仍在发展。In most situations macro cell placement is determined during design plann

26、ing and their placement is“fixed”It is a good practice to fix all macro placements again,just in case.CMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-842PlacementCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-843数字数字IC后端流程后端流程 PlacementDesign planningCTS RouteData SetupCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电

27、子学系2022-8-844芯片中的时钟网络要驱动电路中所有的时序单芯片中的时钟网络要驱动电路中所有的时序单元,所以时钟负载延时很大并且不平衡,需要元,所以时钟负载延时很大并且不平衡,需要插入缓冲器减小负载和平衡延时。插入缓冲器减小负载和平衡延时。时钟网络及其上的缓冲器构成了时钟树。时钟网络及其上的缓冲器构成了时钟树。CTS的目的是为了减小时钟偏差(的目的是为了减小时钟偏差(clock skew)时钟信号定义SDCCTS策略时钟树分析 Clock Tree SynthesisCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-845Starting Poin

28、t before CTSAll clock pins are driven by a single clock source.CMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-846Clock Tree Synthesis(CTS)A buffer tree is built to balance the loads and minimize the skew.CMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-847CTSCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-84

29、8数字数字IC后端流程后端流程 PlacementDesign planningCTS RouteData SetupCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-849布线是继布局和时钟树综合之后的重要布线是继布局和时钟树综合之后的重要物理实施任务,其内容是将分布在芯片核物理实施任务,其内容是将分布在芯片核内的模块、标准单元和输入输出接口单元内的模块、标准单元和输入输出接口单元(I/O pad)按逻辑关系进行互连,其要)按逻辑关系进行互连,其要求是求是100%地完成他们之间的所有逻辑信地完成他们之间的所有逻辑信号的互连,并为满足各种约束条件进行优号

30、的互连,并为满足各种约束条件进行优化。化。RoutingCMOS集成电路版图集成电路版图西安邮电大学微电子学系西安邮电大学微电子学系2022-8-850进行消除布线拥塞(进行消除布线拥塞(congestion)、优化时序、减)、优化时序、减小耦合效应(小耦合效应(coupling)、消除串扰()、消除串扰(crosstalk)、)、降低功耗、保证信号完整性(降低功耗、保证信号完整性(signal integrity)、)、预防预防DFM问题和提高良品率等布线的优化工作是问题和提高良品率等布线的优化工作是衡量布线质量的重要指标。衡量布线质量的重要指标。RoutingVLSI电路多层布线采用自动布线方法,在实施过程中,它电路多层布线采用自动布线方法,在实施过程中,它被分为全局布线(被分为全局布线(global routing)、详细布线()、详细布线(detail routing)和布线修正()和布线修正(search and repair)三个步骤来完成。)三个步骤来完成。自动布线的质量依赖于布局的效果以及自动布线的质量依赖于布局的效果以及EDA工具所采用的工具所采用的布线算法和优化方法。布线算法和优化方法。

侵权处理QQ:3464097650--上传资料QQ:3464097650

【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。


163文库-Www.163Wenku.Com |网站地图|