ImageVerifierCode 换一换
格式:PPT , 页数:81 ,大小:1.57MB ,
文档编号:3581854      下载积分:28 文币
快捷下载
登录下载
邮箱/手机:
温馨提示:
系统将以此处填写的邮箱或者手机号生成账号和密码,方便再次下载。 如填写123,账号和密码都是123。
支付方式: 支付宝    微信支付   
验证码:   换一换

优惠套餐
 

温馨提示:若手机下载失败,请复制以下地址【https://www.163wenku.com/d-3581854.html】到电脑浏览器->登陆(账号密码均为手机号或邮箱;不要扫码登陆)->重新下载(不再收费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录  
下载须知

1: 试题类文档的标题没说有答案,则无答案;主观题也可能无答案。PPT的音视频可能无法播放。 请谨慎下单,一旦售出,概不退换。
2: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
3: 本文为用户(三亚风情)主动上传,所有收益归该用户。163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(点击联系客服),我们立即给予删除!。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

1,本文(微机原理与接口技术第6章课件.ppt)为本站会员(三亚风情)主动上传,163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。
2,用户下载本文档,所消耗的文币(积分)将全额增加到上传者的账号。
3, 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(发送邮件至3464097650@qq.com或直接QQ联系客服),我们立即给予删除!

微机原理与接口技术第6章课件.ppt

1、第第6 6章章 输入输入/输出接口输出接口6.1 6.1 概述概述6.2 I/O6.2 I/O端口的编址端口的编址6.3 CPU6.3 CPU与外设之间的数据传送方式与外设之间的数据传送方式6.1 6.1 概述概述 计算机通过外围设备同外部世界通信或交换数据称为计算机通过外围设备同外部世界通信或交换数据称为“输入输入/输输出出”。由于输入。由于输入/输出设备与输出设备与CPUCPU速度各不相同。如何把工作速度不同速度各不相同。如何把工作速度不同的外围设备与高速的的外围设备与高速的CPUCPU连接起来,就是计算机输入连接起来,就是计算机输入/输出接口所要研输出接口所要研究的问题。究的问题。所谓接

2、口就是指两台计算机之间、计算机与外围设备之间、计算所谓接口就是指两台计算机之间、计算机与外围设备之间、计算机内各部件之间起连接作用的逻辑电路,是机内各部件之间起连接作用的逻辑电路,是CPUCPU与外界进行信息交换与外界进行信息交换的中转站,是位于系统与外设间、用来协助完成数据传送和控制任务的中转站,是位于系统与外设间、用来协助完成数据传送和控制任务的逻辑电路,对输入的逻辑电路,对输入/输出过程起一个缓冲和联络的作用。输出过程起一个缓冲和联络的作用。输入输入/输出接口技术是信息传送的控制技术,是一种采用软、硬输出接口技术是信息传送的控制技术,是一种采用软、硬件结合的方法,实现件结合的方法,实现C

3、PUCPU与外设之间协调与匹配,实现二者之间高效、与外设之间协调与匹配,实现二者之间高效、可靠的信息传递的一门技术。可靠的信息传递的一门技术。一般的输入一般的输入/输出设备都是机械的或机电相结合的产物,它输出设备都是机械的或机电相结合的产物,它们与们与CPUCPU进行数据交换时存在以下问题:进行数据交换时存在以下问题:(1 1)端口间接速度不匹配)端口间接速度不匹配 (2 2)端口时序不匹配)端口时序不匹配 (3 3)信息格式不匹配)信息格式不匹配 (4 4)信息类型不匹配)信息类型不匹配 基于以上原因,基于以上原因,CPUCPU与外设之间的数据交换必须通过接口来完与外设之间的数据交换必须通过

4、接口来完成。成。6.1.1 6.1.1 设置接口电路的目的设置接口电路的目的6.1.26.1.2 接口的基本功能接口的基本功能数据缓冲数据缓冲和锁存功能和锁存功能寻址功能寻址功能接收和执行接收和执行CPUCPU命令的功能命令的功能信号转换功能信号转换功能可编程功能可编程功能中断管理中断管理联络功能联络功能错误检测错误检测功能功能计算机的应用计算机的应用数据转换数据转换 1.1.数字信息数字信息 数字量:计算机可以直接发送、接收和处理的数据。例如,由键数字量:计算机可以直接发送、接收和处理的数据。例如,由键盘、显示器、打印机及磁盘等盘、显示器、打印机及磁盘等I/OI/O外设与外设与 CPUCPU

5、交换的信息,它们是以交换的信息,它们是以二进制形式表示的数或以二进制形式表示的数或以ASCIIASCII码表示的数符。码表示的数符。6.1.3 6.1.3 接口电路中的信息接口电路中的信息 模拟量:当计算机应用于控制系统中时,输入的信息一般为来自模拟量:当计算机应用于控制系统中时,输入的信息一般为来自现场的连续变化的物理量,如温度、压力、流量、位移、湿度等,这现场的连续变化的物理量,如温度、压力、流量、位移、湿度等,这些物理量通过传感器并经放大处理得到模拟电压或电流,这些模拟量些物理量通过传感器并经放大处理得到模拟电压或电流,这些模拟量必需先经过模拟量向数字量的转换(必需先经过模拟量向数字量的

6、转换(A/DA/D转换)后才能输入计算机。反转换)后才能输入计算机。反过来,计算机输出的控制信号都是数字量,也必须先经过数字量向模过来,计算机输出的控制信号都是数字量,也必须先经过数字量向模拟量的转换(拟量的转换(D/AD/A转换),把数字量转换成模拟量才能去控制现场。转换),把数字量转换成模拟量才能去控制现场。开关量:只具有两个状态,如开关的断开和闭合,机器的运转与开关量:只具有两个状态,如开关的断开和闭合,机器的运转与停止,阀门的打开与关闭等。这些开关量通常要经过相应的电平转换停止,阀门的打开与关闭等。这些开关量通常要经过相应的电平转换才能与计算机连接,只用一位二进数即可表示。才能与计算机

7、连接,只用一位二进数即可表示。2.2.状态信息状态信息 状态信息作为状态信息作为CPUCPU与外设之间交换数据时的联络信息,反映了当与外设之间交换数据时的联络信息,反映了当前外设所处的工作状态,是外设通过接口送往前外设所处的工作状态,是外设通过接口送往CPUCPU的。的。CPUCPU通过对外设通过对外设状态信号的读取,可得知输入设备的数据是否准备好、输出设备是否状态信号的读取,可得知输入设备的数据是否准备好、输出设备是否空闲等情况。空闲等情况。3.3.控制信息控制信息 控制信息是控制信息是CPUCPU通过接口传送给外设的相关控制信息,通过接口传送给外设的相关控制信息,CPUCPU通过发通过发送

8、控制信息设置外设(包括接口)的工作模式、控制外设的工作。如送控制信息设置外设(包括接口)的工作模式、控制外设的工作。如外设的启动信号和停止信号就是常见的控制信息。实际上,控制信息外设的启动信号和停止信号就是常见的控制信息。实际上,控制信息往往随着外设的具体工作原理不同而含义不同。往往随着外设的具体工作原理不同而含义不同。I/O I/O接口的基本结构如图接口的基本结构如图6-16-1所示,每个接口电路中都包含一所示,每个接口电路中都包含一组寄存器,组寄存器,CPUCPU和外设进行信息交换时,各类信息在接口中存入不和外设进行信息交换时,各类信息在接口中存入不同的寄存器,一般称这些寄存器和他们的控制

9、逻辑为同的寄存器,一般称这些寄存器和他们的控制逻辑为I/OI/O端口,简端口,简称为口(称为口(portport)。不同的外设对应的接口是不同的,但不论哪种)。不同的外设对应的接口是不同的,但不论哪种接口,都必须具有以下基本部件。接口,都必须具有以下基本部件。6.1.4 6.1.4 接口的基本结构接口的基本结构 图图6-1 6-1 一个典型的一个典型的I/OI/O接口接口 1.1.数据缓冲寄存器数据缓冲寄存器 数据缓冲寄存器用来保存数据缓冲寄存器用来保存CPUCPU和外设之间传送的数据(如数字、和外设之间传送的数据(如数字、字符及某种特定的编码等)。对输入字符及某种特定的编码等)。对输入/输出

10、数据起缓冲作用的数据寄存输出数据起缓冲作用的数据寄存器称为数据端口。器称为数据端口。2.2.控制寄存器控制寄存器 控制寄存器用来存放控制寄存器用来存放CPUCPU发往外设的控制命令和其他信息。确定发往外设的控制命令和其他信息。确定接口电路的工作方式和功能的控制寄存器称为控制端口。由于现在的接口电路的工作方式和功能的控制寄存器称为控制端口。由于现在的接口芯片大都具有可编程的特点,可通过编程来选择或改变其工作方接口芯片大都具有可编程的特点,可通过编程来选择或改变其工作方式和功能,一个接口芯片就相当于具有多种不同的工作方式和功能,式和功能,一个接口芯片就相当于具有多种不同的工作方式和功能,使用起来十

11、分灵活、方便。控制寄存器是写寄存器,其内容只能由微使用起来十分灵活、方便。控制寄存器是写寄存器,其内容只能由微处理器写入,而不能读出。处理器写入,而不能读出。3.3.状态寄存器状态寄存器 状态寄存器用于保存外设或接口本身的当前工作状态信息。每一状态寄存器用于保存外设或接口本身的当前工作状态信息。每一种状态通常在状态寄存器中占一位,例如,输入设备的状态通常用种状态通常在状态寄存器中占一位,例如,输入设备的状态通常用READYREADY表示,输出设备忙状态常用表示,输出设备忙状态常用BUSYBUSY来表示。状态寄存器的内容一来表示。状态寄存器的内容一般只能被般只能被CPUCPU读出。读出。4.4.

12、内部定时与控制逻辑内部定时与控制逻辑 内部定时与控制逻辑用来产生内部工作所需的定时信号,以及根内部定时与控制逻辑用来产生内部工作所需的定时信号,以及根据据CPUCPU的控制命令而产生的控制外设实现具体操作的控制信号。的控制命令而产生的控制外设实现具体操作的控制信号。5.5.地址译码电路地址译码电路 它由译码器或能实现译码功能的其他芯片构成。它的作用它由译码器或能实现译码功能的其他芯片构成。它的作用是进行设备选择,是接口中不可缺少的部分。这部分电路不包是进行设备选择,是接口中不可缺少的部分。这部分电路不包含在集成接口芯片中,要由用户自行设计。含在集成接口芯片中,要由用户自行设计。外设与外设与CP

13、UCPU进行信息交换必须通过相应接口电路的端口来实现。进行信息交换必须通过相应接口电路的端口来实现。在一般的接口电路中都要设置以下几种端口:数据端口,状态端口,在一般的接口电路中都要设置以下几种端口:数据端口,状态端口,命令端口。为了区分这些端口,系统为他们各自分配一个地址,以便命令端口。为了区分这些端口,系统为他们各自分配一个地址,以便CPUCPU选择端口,这个地址称为选择端口,这个地址称为I/OI/O端口地址。微型计算机系统中端口地址。微型计算机系统中I/OI/O端端口都有自己的端口地址,供口都有自己的端口地址,供CPUCPU向接口中寄存器发送命令、读取状态和向接口中寄存器发送命令、读取状

14、态和传送数据。一个端口地址可以只对应一个端口,也可以多个端口地址传送数据。一个端口地址可以只对应一个端口,也可以多个端口地址对应一个端口。对应一个端口。6.2 I/O6.2 I/O端口的编址端口的编址 1.I/O 1.I/O端口与存储器统一编址端口与存储器统一编址 I/OI/O端口和存储器统一编址,也称为存储器映像(端口和存储器统一编址,也称为存储器映像(memory mappedmemory mapped)的编址方式。在这种编址方式中,的编址方式。在这种编址方式中,I/OI/O端口和存储单元按照存储单元的端口和存储单元按照存储单元的编址方法统一编排地址,共同构成一个统一的地址空间,通常是在整

15、编址方法统一编排地址,共同构成一个统一的地址空间,通常是在整个地址空间中划分出一块连续的地址区域分配给个地址空间中划分出一块连续的地址区域分配给I/OI/O端口,被端口,被I/OI/O端口端口占用了的地址,存储器不能再用。占用了的地址,存储器不能再用。图图6-26-2所示给出了所示给出了I/OI/O端口与内存单元统一编址的示意图。图中分端口与内存单元统一编址的示意图。图中分配给配给I/OI/O端口的地址范围为端口的地址范围为F0000HF0000HFFFFFHFFFFFH,共,共6553665536个地址。个地址。6.2.1 I/O6.2.1 I/O端口的编址方式端口的编址方式图图6-2 I/

16、O6-2 I/O端口与内存单元统一编址端口与内存单元统一编址优点:优点:CPU对所有外设的对所有外设的操作与对存储器的操作完操作与对存储器的操作完全相同,用于访问存储器全相同,用于访问存储器的指令都可以用于访问端的指令都可以用于访问端口,不用专门设置访问口,不用专门设置访问I/O端口的指令,这可大大增端口的指令,这可大大增强系统的强系统的I/O功能,使访问功能,使访问外设端口的操作方便、灵外设端口的操作方便、灵活,端口的编址空间也比活,端口的编址空间也比较大。较大。缺点:缺点:I/O端口地址占用了存端口地址占用了存储器的一部分地址空间,造储器的一部分地址空间,造成存储器有效容量减少;访成存储器

17、有效容量减少;访问内存指令一般都比专门问内存指令一般都比专门I/O指令需要更多的字节,寻址指令需要更多的字节,寻址速度比专用的速度比专用的I/O指令慢,执指令慢,执行速度较慢。此外,从指令行速度较慢。此外,从指令上难以区分访问主存还是访上难以区分访问主存还是访问外设,造成程序阅读困问外设,造成程序阅读困难,地址译码电路相对复杂难,地址译码电路相对复杂I/OI/O端口的编址方式端口的编址方式优缺点优缺点 2.I/O2.I/O端口与存储器独立编址端口与存储器独立编址 这种编址方式是使这种编址方式是使I/OI/O端口与存储器分别单独编址,建立两个地端口与存储器分别单独编址,建立两个地址空间:内存地址

18、空间和址空间:内存地址空间和I/OI/O地址空间,两者互相独立、互不影响。在地址空间,两者互相独立、互不影响。在这种方式下这种方式下I/OI/O地址空间和存储器地址空间可以重叠。所以需要通过控地址空间和存储器地址空间可以重叠。所以需要通过控制总线来确定制总线来确定CPUCPU到底要访问内存还是到底要访问内存还是I/OI/O端口。为确保控制总线发出端口。为确保控制总线发出正确的信号,除了要有访问内存的指令之外,系统还要提供用于正确的信号,除了要有访问内存的指令之外,系统还要提供用于CPUCPU与与I/OI/O端口之间进行数据传输的输入端口之间进行数据传输的输入/输出指令(输出指令(ININ和和O

19、UTOUT),所以也叫),所以也叫专用专用I/OI/O指令方式。指令方式。图图6-36-3所示,在所示,在8086/80888086/8088系统中,共有系统中,共有2020根地址线对内存寻址,根地址线对内存寻址,内存的地址范围是内存的地址范围是00000H00000HFFFFFHFFFFFH,用地址总线的低,用地址总线的低1616位对位对I/OI/O端口端口寻址,所以寻址,所以I/OI/O端口的地址是端口的地址是0000H0000HFFFFHFFFFH。图图6-3 I/O6-3 I/O端口与存储器独立编址端口与存储器独立编址 1.I/O1.I/O端口的地址分配端口的地址分配 在分析设计接口电

20、路之前,首先需要搞清楚系统在分析设计接口电路之前,首先需要搞清楚系统I/OI/O端口地址的端口地址的分配使用情况,需要知道哪些地址已经分配给了别的设备,哪些地址分配使用情况,需要知道哪些地址已经分配给了别的设备,哪些地址是制造商为今后开发而保留的,哪些地址是可以使用但系统目前仍然是制造商为今后开发而保留的,哪些地址是可以使用但系统目前仍然是空闲的。不同的微机系统对是空闲的。不同的微机系统对I/OI/O端口地址的分配是不同的。当微型机端口地址的分配是不同的。当微型机系统中采用系统中采用I/OI/O单独编制方案来控制外部设备时,常用单独编制方案来控制外部设备时,常用74LS13874LS138译码

21、器译码器和必要的逻辑门电路来设计和必要的逻辑门电路来设计I/OI/O译码电路。译码电路。6.2.2 6.2.2 端口地址译码端口地址译码 1)PC/XT 1)PC/XT机的机的I/OI/O端口分配端口分配 在在IBMIBM的的PC/XTPC/XT机中,中断控制、机中,中断控制、DMADMA控制、动态控制、动态RAMRAM刷新、系统配刷新、系统配置识别、键盘代码读取及扬声器发音等都是由可编程置识别、键盘代码读取及扬声器发音等都是由可编程I/OI/O接口芯片控制接口芯片控制的。的。PC/XTPC/XT机的端口地址译码是采用非完全译码方式,即只考虑了低机的端口地址译码是采用非完全译码方式,即只考虑了

22、低1010位地址线位地址线A A0 0AA9 9,而没有考虑高,而没有考虑高6 6位地址线位地址线A10A10A15A15,故其,故其I/OI/O端口地端口地址范围是址范围是0000H03FFH0000H03FFH,总共只有,总共只有10241024个字节端口,并且把前个字节端口,并且把前512512个字个字节端口分配给了主板,后节端口分配给了主板,后512512个字节个字节I/OI/O端口分配给了扩展槽上的常规端口分配给了扩展槽上的常规外设。外设。PC/XTPC/XT机的机的I/OI/O端口分配表见表端口分配表见表6-16-1。表表6-1 PC/XT6-1 PC/XT机的机的I/OI/O端口

23、分配表端口分配表分分 类类地址范围(地址范围(H H)I/OI/O设备(端口)设备(端口)主板上接口芯片的主板上接口芯片的端口地址端口地址00000001F01F(00000F0F)02002003F03F(20202121)04004005F05F(40404343)06006007F07F(60606363)08008009F09F(80808383)0A00A00BF0BF(A0A0)0C00C00DF0DF0E00E00FF0FF8237A-5 DMA 8237A-5 DMA 控制器控制器8259A 8259A 中断控制器中断控制器8253-5 8253-5 计数器计数器/定时器定时器

24、8255A-5 8255A-5 并行接口并行接口DMA DMA 页寄存器页寄存器NMI NMI 屏蔽寄存器屏蔽寄存器保留保留保留保留扩展槽上接口控制扩展槽上接口控制卡的端口地址卡的端口地址20020020F20F2F82F82FF2FF30030031F31F32032032F32F38738737F37F38038038F38F3B03B03BF3BF3F03F03F73F73F83F83FF3FF游戏游戏 I/OI/O异步通信异步通信2 2(COM 2COM 2)实验卡(原型卡)实验卡(原型卡)硬磁盘适配器硬磁盘适配器并行打印机接口并行打印机接口同步通信控制器同步通信控制器单显单显/打印机

25、适配器打印机适配器软磁盘适配器软磁盘适配器异步通信异步通信 1 1(COM 1COM 1)2 2)PC/ATPC/AT机的机的I/OI/O端口地址端口地址 在在8028680286微处理器的微处理器的PC/ATPC/AT系统中,也只使用低系统中,也只使用低1010位地址信号进行位地址信号进行译码形成译码形成I/OI/O端口地址,系统主板占用前端口地址,系统主板占用前256256个字节端口地址,而后个字节端口地址,而后768768个字节端口地址则分配给扩展槽使用。在个字节端口地址则分配给扩展槽使用。在8038680386微处理器及此后更微处理器及此后更新的微处理器构成的微机系统中,端口地址采用了

26、全译码的方法,因新的微处理器构成的微机系统中,端口地址采用了全译码的方法,因此微机系统的端口为此微机系统的端口为64 K64 K个字节端口或个字节端口或32 K32 K个字节端口。无论是最早个字节端口。无论是最早的的80868086微处理器构成的微机系统,还是当前的奔腾微处理器构成的微机系统,还是当前的奔腾IVIV微处理器构成的微处理器构成的微机系统,系统基本设备的端口地址分配是基本相同的,如表微机系统,系统基本设备的端口地址分配是基本相同的,如表6-26-2所示。所示。表表6-2 PC/AT机的机的I/O端口分配表端口分配表分分 类类地址范围(地址范围(H)I/O设备(端口)设备(端口)主板

27、上接口芯片的端口地址主板上接口芯片的端口地址00001FH0C00DFH08009FH02003FH0A00BFH04005FH06006FH07007FH0F00FFHDMA 控制器控制器1DMA 控制器控制器2DMA页面寄存器页面寄存器中断控制器中断控制器1中断控制器中断控制器2定时器定时器键盘控制器键盘控制器RT/CMOS RAM协处理器协处理器扩展槽上接口控制卡的端口地址扩展槽上接口控制卡的端口地址1F01FFH20020FH37037FH27027FH3F83FFH.2F02FFH30031FH3A03AFH38038FH3B03BFH3D03DFH3C03CFH3F03FFH360

28、36FH硬驱控制卡硬驱控制卡游戏控制卡游戏控制卡并行口控制卡并行口控制卡1并行口控制卡并行口控制卡2串行口控制卡串行口控制卡1串行口控制卡串行口控制卡2原型插件板(用户可用)原型插件板(用户可用)同步通信卡同步通信卡1同步通信卡同步通信卡2单显单显DMA彩显彩显CGA彩显彩显EGA/VGA软驱控制卡软驱控制卡PC网卡网卡 端口地址的译码方法有多种,可按地址和控制信号不同的组合进端口地址的译码方法有多种,可按地址和控制信号不同的组合进行译码。一般原则是把地址线分为两部分:一部分是高位地址线与行译码。一般原则是把地址线分为两部分:一部分是高位地址线与CPUCPU的控制信号进行组合,经译码电路产生的

29、控制信号进行组合,经译码电路产生I/OI/O接口芯片的片选信号,实现接口芯片的片选信号,实现系统中的接口芯片寻址;另一部分是低位地址线不参加地址译码,而系统中的接口芯片寻址;另一部分是低位地址线不参加地址译码,而是直接接到是直接接到I/OI/O接口芯片的地址引脚,进行接口芯片的地址引脚,进行I/OI/O接口芯片的片内端口寻接口芯片的片内端口寻址,即寄存器寻址。低位地址线的数目取决于接口芯片中的端口(寄址,即寄存器寻址。低位地址线的数目取决于接口芯片中的端口(寄存器)数目。存器)数目。2.I/O2.I/O端口地址译码电路设计端口地址译码电路设计 译码电路按形式可分为固定式和可选择式译码,若按译码

30、电路译码电路按形式可分为固定式和可选择式译码,若按译码电路采用的元器件来分,可分为门电路译码、译码器译码和可编程逻辑采用的元器件来分,可分为门电路译码、译码器译码和可编程逻辑器件译码。下面主要通过固定式译码和可选择式译码来介绍器件译码。下面主要通过固定式译码和可选择式译码来介绍I/OI/O端口端口地址译码电路的设计。地址译码电路的设计。1 1)固定式端口地址译码)固定式端口地址译码 所谓固定式是指接口电路中用到的端口地址由硬件连线决定,所谓固定式是指接口电路中用到的端口地址由硬件连线决定,不能更改,适用于不需改变端口地址的场合。在固定式译码电路中,不能更改,适用于不需改变端口地址的场合。在固定

31、式译码电路中,又分为单个端口地址译码和多个端口地址译码两种情况。又分为单个端口地址译码和多个端口地址译码两种情况。【例【例6-16-1】使用使用74LS2074LS20、74LS3074LS30、74LS3274LS32和和74LS0474LS04等门电路设等门电路设计计I/OI/O端口地址为端口地址为77AH77AH的只读译码电路。的只读译码电路。分析:要产生分析:要产生77AH77AH端口地址,则译码电路的输入地址线就应该端口地址,则译码电路的输入地址线就应该具有如表具有如表6-36-3所示的值。所示的值。解:按照表解:按照表6-36-3中地址线的值,采用门电路设计的译码电路如中地址线的值

32、,采用门电路设计的译码电路如图图6-46-4所示。所示。图中图中AENAEN参加译码,实现对端口地址译码的控制。只有当参加译码,实现对端口地址译码的控制。只有当AEMAEM为为低电平时,即不是低电平时,即不是DMADMA操作时,译码才有效;当操作时,译码才有效;当AENAEN为高电平时,即为高电平时,即是是DMADMA操作时,译码无效。这样可以避免在操作时,译码无效。这样可以避免在DMADMA周期中,由周期中,由DMADMA控制器控制器对这些以非对这些以非DMADMA方式传送的方式传送的I/OI/O端口执行端口执行DMADMA方式的传送。方式的传送。图图6-4 6-4 固定式只读单端口地址译码

33、电路固定式只读单端口地址译码电路图图6-5 6-5 固定式读固定式读/写单端口地址译码电路写单端口地址译码电路 【例【例6-26-2】用用74LS13874LS138设计一个设计一个1616位位PC/XTPC/XT主板上的接口芯片的主板上的接口芯片的I/OI/O端口地址译码电路,并且让每个接口芯片内部的端口数目为端口地址译码电路,并且让每个接口芯片内部的端口数目为3232个。个。表6-4 译码电路输入地址线的值地址线A15 A14 A13 A12 A11 A10 A9 A8A7 A6 A5A4 A3 A2 A1 A0用途控制片选片内端口寻址十六进制00H07H0FH 74LS138 74LS1

34、38译码器的译码器的3 3个使用控制信号个使用控制信号G1G1、都有效都有效时,译码器才起作用,否则无论译码输入选择端如何,译码时,译码器才起作用,否则无论译码输入选择端如何,译码输出都是无效的高电平。输出都是无效的高电平。74LS13874LS138译码器在译码时,根据三个译码器在译码时,根据三个输入端将其转化为输入端将其转化为8 8位的编码,任意时刻的输出至多只有一个位的编码,任意时刻的输出至多只有一个为低电平,用于选中相连的芯片。其真值表如表为低电平,用于选中相连的芯片。其真值表如表6-56-5所示。所示。2AC2BC表6-5 74LS138真值表A7 A6 A5 0 0 0111111

35、100 0 1111111010 1 0111110110 1 1111101111 0 0111011111 0 1110111111 1 0101111111 1 1011111117Y6Y5Y2Y3Y4Y1Y0Y 解:采用解:采用74LS13874LS138译码器,设计译码器,设计PC/XTPC/XT机系统板上的端口地址译码电机系统板上的端口地址译码电路,如图路,如图6-66-6所示。输出端分别连接所示。输出端分别连接DMADMA控制器控制器82378237、中断控制器、中断控制器82598259、计数计数/定时控制器定时控制器82548254和并行接口芯片和并行接口芯片82558255

36、。A7A7A5A5经译码,产生片选信经译码,产生片选信号用于选择相应的芯片。以号用于选择相应的芯片。以A7A7A5A5全全0 0时为例,此时有效,产生信时为例,此时有效,产生信号号 ,选中与之相连的,选中与之相连的DMADMA控制器控制器82378237。而而 、分别是分别是82598259、82548254和和82558255的片选信号。的片选信号。地址线的低地址线的低5 5位位A0A0A4A4作为芯片内部寄存器的访问地址。作为芯片内部寄存器的访问地址。8237A8237A的端口地的端口地址范围是址范围是0000H0000H001FH001FH,82598259的端口地址范围是的端口地址范围

37、是0020H0020H003FH003FH等。等。DMACSINTRCST/CCSPPICS图图6-6 6-6 固定式多端口地址译码电路固定式多端口地址译码电路 2 2)可选式端口地址译码)可选式端口地址译码 如果用户要求接口卡的端口地址能适应不同的地址分配场合,或为如果用户要求接口卡的端口地址能适应不同的地址分配场合,或为系统以后扩充留有余地,则采用开关式端口地址译码。这种译码方式可系统以后扩充留有余地,则采用开关式端口地址译码。这种译码方式可以通过开关使接口卡的以通过开关使接口卡的I/OI/O端口地址根据需要加以改变而无需改动硬件端口地址根据需要加以改变而无需改动硬件电路的线路选择,其电路

38、可由地址开关、译码器、比较器和基本门电路电路的线路选择,其电路可由地址开关、译码器、比较器和基本门电路等组成。等组成。【例【例6-36-3】设计扩展板上的设计扩展板上的I/OI/O端口地址译码电路,要求让扩展板端口地址译码电路,要求让扩展板上每个接口芯片的内部端口数目为上每个接口芯片的内部端口数目为4 4个,并且端口地址可选。地址范围个,并且端口地址可选。地址范围1000H1000H13DFH13DFH。解:根据上述分析可以设计电路如图解:根据上述分析可以设计电路如图6-76-7所示。所示。从图中可以看到,高位地址线中,从图中可以看到,高位地址线中,A9A9、A8A8、A7A7、A6A6的值是

39、否有效,的值是否有效,由开关由开关S3S3、S2S2、S1S1、S0S0的状态决定,只有与的状态决定,只有与S3S3、S2S2、S1S1、S0S0完全相同才完全相同才是有效的地址信号。例如图中,由于是有效的地址信号。例如图中,由于S3S3、S1S1断开,断开,S2S2、S0S0闭合,使得当闭合,使得当A9=A7=1A9=A7=1,A8=A6=0A8=A6=0时才产生选通信号。在要求的地址范围内,恒有时才产生选通信号。在要求的地址范围内,恒有A5=0A5=0,故故A5A5连在连在74LS13874LS138的上。的上。A4A3A2A4A3A2三根地址线作为三根地址线作为74LS13874LS13

40、8的输入线,经译的输入线,经译码后可产生码后可产生8 8个低有效的选择信号,作为扩展版上的接口芯片选择。低个低有效的选择信号,作为扩展版上的接口芯片选择。低位地址线位地址线A1A0A1A0未参加译码,作为接口芯片内部的寄存器选择,因此每个未参加译码,作为接口芯片内部的寄存器选择,因此每个芯片内部有芯片内部有4 4个端口。个端口。图图6-7 6-7 用比较器组成的可选式译码电路用比较器组成的可选式译码电路图图6-8 6-8 用跳线开关设计用跳线开关设计I/OI/O端口地址的译码电路端口地址的译码电路【例【例6-46-4】用跳线开关设计用跳线开关设计I/OI/O端口地址译码电路。端口地址译码电路。

41、在现代微型计算机的在现代微型计算机的I/OI/O传送中,主要有三种不同的控制方传送中,主要有三种不同的控制方法来解决数据输入法来解决数据输入/输出的策略调度(输出的策略调度(scheduling-strategyscheduling-strategy)问题,分别是程序控制方式、中断控制方式和直接存储器存取问题,分别是程序控制方式、中断控制方式和直接存储器存取(direct memory accessdirect memory access,DMADMA)方式。)方式。6.3 CPU6.3 CPU与外设之间的数据传送方式与外设之间的数据传送方式 程序控制方式是指在程序控制下进行的数据传送方式。它

42、又程序控制方式是指在程序控制下进行的数据传送方式。它又分为无条件传送和程序查询传送两种。这类传送方式的特点是:分为无条件传送和程序查询传送两种。这类传送方式的特点是:以以 CPU CPU 为中心,数据传送的控制来自为中心,数据传送的控制来自 CPUCPU,通过预先编制的输,通过预先编制的输入或输出程序(传送指令和入或输出程序(传送指令和 I/O I/O 指令)实现数据的传送。这种指令)实现数据的传送。这种传送方式的数据传送速度较低,传送路径要经过传送方式的数据传送速度较低,传送路径要经过 CPU CPU 内部的寄内部的寄存器,同时数据的输入存器,同时数据的输入/输出的响应也较慢。输出的响应也较

43、慢。6.3.1 6.3.1 程序控制方式程序控制方式 1.1.无条件传送方式无条件传送方式 无条件传送方式又称同步传送方式,主要用于对简单外设进行无条件传送方式又称同步传送方式,主要用于对简单外设进行操作,或者外设的定时是固定的或已知的场合。也就是说,这类外操作,或者外设的定时是固定的或已知的场合。也就是说,这类外设在任何时刻均已准备好数据或处于接收数据状态,或者在某些固设在任何时刻均已准备好数据或处于接收数据状态,或者在某些固定时刻,他们处在数据就绪或准备接收状态,因此程序可以不必检定时刻,他们处在数据就绪或准备接收状态,因此程序可以不必检查外部设备处于何种状态,而在需要进行输入或输出操作时

44、,直接查外部设备处于何种状态,而在需要进行输入或输出操作时,直接执行输入执行输入/输出指令。当输出指令。当I/OI/O指令执行后,数据传送便立即进行。指令执行后,数据传送便立即进行。当进行输入时,输入数据保持时间相对于当进行输入时,输入数据保持时间相对于CPUCPU的处理时间要长很多,的处理时间要长很多,所以可直接使用三态缓冲器和数据总线相连,如图所以可直接使用三态缓冲器和数据总线相连,如图6-96-9所示。所示。图图6-9 6-9 无条件传送的输入方式无条件传送的输入方式 当进行输出时,由于外设速度较慢,要求当进行输出时,由于外设速度较慢,要求CPUCPU送给外设的数据送给外设的数据应该在接

45、口中保持一段时间,因而一般接口都需要锁存器,如图应该在接口中保持一段时间,因而一般接口都需要锁存器,如图6-6-1010所示。所示。图图6-10 6-10 无条件传送的输出方式无条件传送的输出方式【例【例6-56-5】用无条件输出方式编程控制系统板上扬声器发声。用无条件输出方式编程控制系统板上扬声器发声。图图6-11 6-11 扬声器控制电路扬声器控制电路程序代码如下:程序代码如下:CODE SEGMENTCODE SEGMENT ASSUME CS:code ASSUME CS:codeSTART:START:MOV BXMOV BX,3000H 3000H ;控制脉冲个数;控制脉冲个数 M

46、OV DX MOV DX,6000H 6000H ;控制脉冲周期;控制脉冲周期 IN AL IN AL,61H61H;读入;读入61H61H端口数据端口数据 AND AL AND AL,1111 1100b 1111 1100b ;61H61H端口的端口的D0D0为为0 0,8253 8253 输出输出1 1sound:XOR ALsound:XOR AL,0000 0010b 0000 0010b OUT 61H OUT 61H,ALAL;61H61H端口的端口的D1D1交替为交替为0 0和和1 1 MOV CX MOV CX,DXDXdelay:LOOP delay delay:LOOP

47、delay ;延时;延时 DEC BX DEC BX ;控制脉冲数;控制脉冲数 JNZ sound JNZ sound MOV AH MOV AH,4CH4CH INT 21HINT 21HCode ENDSCode ENDS END start END start 2.2.条件传送方式条件传送方式 条件传送方式又称程序查询方式或异步传送方式。当条件传送方式又称程序查询方式或异步传送方式。当CPUCPU与外设工与外设工作不同步时,很难确保作不同步时,很难确保CPUCPU在执行输入操作时,外设一定是在执行输入操作时,外设一定是“准备好准备好”的;而在执行输出操作时,外设一定是的;而在执行输出操作

48、时,外设一定是“空闲空闲”的。这时,为保证数的。这时,为保证数据传送的正确进行,就需采用条件传送方式。据传送的正确进行,就需采用条件传送方式。条件传送方式的流程图如图条件传送方式的流程图如图6-126-12所示。所示。图图6-12 6-12 条件传送方式的流程图条件传送方式的流程图 查询方式完成一次数据传送要经历如下过程:查询方式完成一次数据传送要经历如下过程:(1 1)CPUCPU从接口中读取状态字。从接口中读取状态字。(2 2)CPUCPU检测相应的状态位是否满足检测相应的状态位是否满足“就绪就绪”条件。条件。(3 3)如果外设正处于)如果外设正处于“忙忙”或或“未准备就绪未准备就绪”,则

49、程序转回重复,则程序转回重复1 1、2 2步,循环等待;如果外设处于步,循环等待;如果外设处于“空空”或或“准备就绪准备就绪”,则发出一条输,则发出一条输入入/输出指令,进行一次数据传送。输出指令,进行一次数据传送。外设的状态、控制信息往往只有少量的几位或外设的状态、控制信息往往只有少量的几位或1 1位,因此不同的外位,因此不同的外设可以使用同一状态口或控制口的不同位。也就是说,一个外设可能设可以使用同一状态口或控制口的不同位。也就是说,一个外设可能同时占有多个口地址(数据口、状态口、控制口),而一个口地址也同时占有多个口地址(数据口、状态口、控制口),而一个口地址也可能被多个外设共用。可能被

50、多个外设共用。1 1)条件式输入)条件式输入 图图6-136-13给出的是采用条件传送方式进行输入操作的接口电路。输给出的是采用条件传送方式进行输入操作的接口电路。输入设备在数据准备好之后向接口电路送一个选通信号,此信号有两个入设备在数据准备好之后向接口电路送一个选通信号,此信号有两个作用:一方面将外设中的数据送到接口的锁存器中,另一方面使接口作用:一方面将外设中的数据送到接口的锁存器中,另一方面使接口中的一个中的一个D D触发器触发器Q Q端输出为端输出为1 1,从而使三态缓冲器的,从而使三态缓冲器的READYREADY位置位置1 1,通知,通知CPUCPU,外设数据已准备好。,外设数据已准

侵权处理QQ:3464097650--上传资料QQ:3464097650

【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。


163文库-Www.163Wenku.Com |网站地图|