全套电子课件:数字电子技术-第四套.ppt

上传人(卖家):三亚风情 文档编号:3158637 上传时间:2022-07-25 格式:PPT 页数:312 大小:4.52MB
下载 相关 举报
全套电子课件:数字电子技术-第四套.ppt_第1页
第1页 / 共312页
全套电子课件:数字电子技术-第四套.ppt_第2页
第2页 / 共312页
全套电子课件:数字电子技术-第四套.ppt_第3页
第3页 / 共312页
全套电子课件:数字电子技术-第四套.ppt_第4页
第4页 / 共312页
全套电子课件:数字电子技术-第四套.ppt_第5页
第5页 / 共312页
点击查看更多>>
资源描述

1、项目一项目一 两地控制指示电路两地控制指示电路知识目标知识目标 了解数字信号和数字电路的基本概念 熟悉不同数制之间的转换 掌握三种基本逻辑关系 掌握常用的复合逻辑关系 熟悉常用的集成门电路 技能目标技能目标 掌握基本集成门电路的功能测试 掌握集成逻辑门电路逻辑功能的测试方法 知识链接知识链接 链接一 数字电路的基本知识 链接二 数制和码制的基本知识 链接三 逻辑函数 链接四 常用的集成逻辑门电路项目实训项目实训 任务一 常用集成门电路的认识 任务二 门电路逻辑功能的测试 任务三 集成逻辑门电路逻辑功能的测试 知识链接知识链接链接一链接一 数字电路的基本知识数字电路的基本知识 一、数字信号与模拟

2、信号一、数字信号与模拟信号 现代电子线路所处理的信号大致可分为两大类:一类为模拟信号;另一类为数字信号。处理模拟信号的电路称为模拟电路,处理数字信号的电路称为数字电路。模拟信号是指时间上和数值上都是连续的信号。模拟信号一般是指模拟真实世界物理量的电压或电流,如模拟话音、温度、压力等一类物理量的信号。处理这类信号时,考虑的是放大倍数、频率失真、非线性失真及相位失真等,着重分析波形的形状、幅度和频率的变化。数字信号是指时间上和数值上都是离散的信号,即信号在时间上不连续,总是发生在一系列离散的瞬间;在数值上量化,只能按有限多个增量或阶梯取值。信号所表现的形式是一系列高、低电平组成的脉冲波,即信号总是

3、在高电平和低电平之间来回变化。处理数字信号时,重要的是要能正确区分出信号的高、低电平,并正确反映电路的输出、输入之间的关系,至于高、低电平值精确度则无关紧要。数字电路主要研究电路输入、输出状态之间的相互关系,即逻辑关系。分析和设计数字电路的数学工具是逻辑代数,它是英国数学家布尔于1849年提出的,因此也称布尔代数。二、数字电路的特点与分类二、数字电路的特点与分类 1数字电路的特点数字电路的特点(1)工作信号是二进制的数字信号,反映在电路上就是低电平和高电平两种状态(即0和1两个逻辑值)。(2)在数字电路中,研究的主要问题是电路的逻辑功能,即输入信号的状态和输出信号的状态之间的逻辑关系。数学工具

4、是逻辑代数(3)对组成数字电路的元器件的精度要求不高,只要在工作时能够可靠地区分0和1两种状态即可。(4)电路结构简单,稳定可靠。有利于实现数字电路集成化。(5)数字电路抗干扰能力强。(6)数字电路中元件处于开关状态,功耗较小。在计算机、数字通信、自动控制、数字仪器及家用电器等技术领域中得到广泛的应用。2数字电路的分类数字电路的分类(1)按电路组成结构分为分立元件和集成电路两大类。其中集成电路按集成度(在一块硅片上包含的逻辑门电路或元件的数量)可分为小规模(SSI)、中规模(MSI)、大规模(LSI)和超大规模(VLSI)集成电路。(2)按电路所用器件分为双极型(如TTL、ECL、I2L、HT

5、L)和单极型(如NMOS、PMOS、CMOS)电路。(3)按电路逻辑功能分为组合逻辑电路和时序逻辑电路。链接二链接二 数制和码制的基本知识数制和码制的基本知识 一、数制一、数制 1常用数制常用数制 数制是计数进位制的简称。(1)十进制)十进制 在十进制数中采用了0、1、2、9十个不同的数码;在计数时,逢十进一,借一当十。各个数码处于十进制数的不同数位时,所代表的数值是不同的。对于任意一个十进制数的数值,都可以按位权展开 12101012101212()1010.1010 1010.10nnnnmmNaaaaaaa110niiima式中 十进制数的任意一个数码;m、n正整数,n表示整数部分数位,

6、m表示小数部分数位。例如,526的数值可表示为 526=5100+210+61上述十进制数按位权展开的表示方法,可以推广到任意进制的计数制。(2)二进制 二进制数只有0和1两个数码,在计数时逢二进一及借一当二。二进制的基数是2,每个数位的位权值为2的幂。因此,二进制数可以按位权展开。1210212101212()22.22 22.2nnnnmmNaaaaaaa12niiima式中 第i位的数码(0或1);n、m正整数;2i第i位的位权值。例如:二进制数1101.01可展开为(1101.01)2=123+122+021+120+021+122(3)八进制)八进制 八进制数有0、1、2、3、4、5

7、、6、7八个数码,在计数时逢八进一及借一当八。八进制的基数是8,每个数位的位权值为8的幂。八进制数的下标可用8或O(Octadic的缩写)表示为18()8niiimNa例如:(107.4)8=182+081+780+481 因为23=8,所以3位二进制数可用1位八进制数来表示。(4)十六进制)十六进制 十六进制数有0、1、2、3、4、5、6、7、8、9、A、B、C、D、E、F十六个数码,在计数时逢十六进一及借一当十六。十六进制的基数是16,每个数位的位权值是16的幂。十六进制数的小标可用16或H(Hex的缩写)表示为 例如,(BD2.3C)16=11162+13161+2160+3161+12

8、162 因为24=16,所以4位二进制数可用1位十六进制数来表示。在计算机应用系统中,二进制主要用于机器内部的数据处理,八进制和十六进制主要用于书写程序、指令,十进制主要用于运算最终结果的输出。另外,十六进制数还经常用来表示内存的地址,例如(8FD9)16表示要寻找该地址的存储单元。116()16niiimNa2数制转换数制转换(1)二、八和十六进制数转换为十进制数)二、八和十六进制数转换为十进制数 R进制数转换为十进制数时按位权展开,然后将各项数值按十进制相加,就可得到等值的十进制数。解:(10101.11)2=124+023+122+021+120+121+122=(21.75)10 (1

9、65.2)8=182+681+580+281=(117.25)10 (2A.8)16=2161+10160+8161=(42.5)10【例1-1】(1)将二进制数(10101.11)2转换为十进制数。(2)将八进制数(165.2)8转换为十进制数。(3)将十六进制数(2A.8)16转换为十进制数。(2)十进制数转换为其他进制数)十进制数转换为其他进制数 十进制数转换为R进制数,都可用基数乘除法。对于整数部分,可采用“除R取余、逆序排列”法;对于小数部分,可采用“乘R取整、顺序排列”法。【例1-2】将十进制数(43.6875)10转换为二进制数。解:整数部分,用“除2取余、逆序排列”法得 所以:

10、(43)10=(101011)2 小数部分,用“乘2取整,顺序排列”法得 0.6785 2=1.3750 取整=1 最高位 0.375 2=0.750 取整=0 0.75 2=1.50 取整=1 0.5 2=1.0 取整=1 最低位 所以:(0.6875)10=(0.1011)2 综合以上两部分得:(43.6875)10=(101011.1011)2 注意小数部分,凡无穷尽者,可采用类似十进制数四舍五入的办法保留最后一位有效数字。(3)二进制数和八、十六进制数之间的转换)二进制数和八、十六进制数之间的转换 每一位八进制数正好对应3位二进制数,每一位十六进制数正好对应4位二进制数。二进制数转换为

11、八进制数时,只要以小数点为界,整数部分向左、小数部分向右分成3位一组,各组分别用对应的一位八进制数表示即可得到所求的八进制数,两头不足3位时,可分别用0补足。二进制数到十六进制数的转换方法与此相同,只是小数点向左或向右分别按4位一组进行分组即可。(3)二进制数和八、十六进制数之间的转换)二进制数和八、十六进制数之间的转换 每一位八进制数正好对应3位二进制数,每一位十六进制数正好对应4位二进制数。所以二进制数转换为八进制数时,只要以小数点为界,整数部分向左、小数部分向右分成3位一组,各组分别用对应的一位八进制数表示,即可得到所求的八进制数,两头不足3位时,可分别用0补足。同理,二进制数到十六进制

12、数的转换方法与此相同,只是小数点向左或向右分别按4位一组进行分组即可。几种制进数之间的对应关系如表1-1所示。表1-1几种制进数之间的对应关系十进制数二进制数八进制数十六进制数012345678910111213141500000001001000110100010101100111100010011010101111001101111011110123456710111213141516170123456789ABCDEF【例1-3】将二进制数(1011010.10111)2分别转换为八进制数和十六进制数。解:二进制数化为八进制数,按3位一组得【例1-4】将十六进制数(7F.E5)16转换为

13、八进制数。解:先把每一位十六进制数用4位二进制数表示出来为 即:(7F.E5)16=(1111111.11100101)2 再将二进制数按每3位一组划分得八进制数为 故有 (7F.E5)16=(177.712)8 数字系统中,需要把十进制数的数值、不同的文字、符号等其他信息用二进制代码来表示才能处理。二、码制二、码制 1.代码代码 用以表示十进制数码、字母、符号等信息的一定位数的二进制数称为代码。必须指出的是,二进制码不一定表示二进制数,它的含义是人们预先约定而赋予的。2.编码编码 为了建立这种代码与所表示信息一一对应的关系,用一定位数的二进制数来表示十进制数码、字母、符号等信息,称为编码。编

14、码主要有二进制编码,二十进制编码等。二十进制码(BCD码):由于十进制数有09十个数码,需要4位二进制数码来对应表示一位十进制数码。用4位二进制数b3b2b1b0来表示十进制数中的 0 9 十个数码。简称BCD码。常用的BCD码有8421码、2421码、5421码、余3码等。8421BCD码:用四位自然二进制码中的前十个码字来表示十进制数码,因各位的权值依次为8、4、2、1,故称8421码。2421码的权值依次为2、4、2、1;余3码由8421码加0011得到;格雷码是一种循环码,其特点是任何相邻的两个码字,仅有一位代码不同,其它位相同。由于每位码是以四位二进制数为一组来表示的,所以8421B

15、CD码与十进制数之间的转换可以直接以组为单位来进行。【例1-5】将(138)10转换为对应的8421BCD码。即 (138)10=(000100111000)8421BCD【例1-6】将(100100000011.10000101)8421BCD码转换为对应的十进制数。即(100100000011.10000101)8421BCD=(903.85)10解:注意:在8421BCD码中不允许出现10101111这六个代码,因为十进制数09中没有与之对应的数字符号,这些代码称为伪码,也常称为“无关码”或“无关项”。链接三链接三 逻辑函数逻辑函数 逻辑代数是分析和设计数字电路的重要数学工具,它反映了逻

16、辑变量的运算规律。逻辑代数中的变量只有两种取值0或1。0和1并不表示数量的大小,而只是表示两种对立的逻辑状态。逻辑代数有三种基本运算:与、或、非。将这三种基本运算简单组合可构成复合逻辑,例如:与非、或非、与或非、同或、异或等。一、基本概念一、基本概念 二进制数中的“1”和“0”不仅能够表示二进制数,还可以表示许多对立的逻辑状态。在分析和设计数字电路时,所用的数学工具是逻辑代数,又称布尔代数。1逻辑变量 逻辑代数和普通代数一样,用字母A、B、C、X、Y、Z等代表变量,称为逻辑变量。但这两种代数中变量的含义有本质的区别,逻辑代数中的变量只有两种取值0或1。0和1并不表示数量的大小,而只是表示两种对

17、立的逻辑状态,即“是”与“非”、“开”与“关”、“真”与“假”、“高”与“低”等。2逻辑关系 通常,把反映“条件”和“结果”之间的关系称为逻辑关系。如果以电路的输入信号反映“条件”,以输出信号反映“结果”,此时各输入、输出之间也存在确定的逻辑关系。数字电路就是实现特定逻辑关系的电路,因此,又称逻辑电路。逻辑电路的基本单元是逻辑门,它们反映了基本的逻辑关系。3正逻辑和负逻辑 根据1和0代表逻辑状态的含义不同,有正、负逻辑之分。例如,认定“1”表示事件发生,“0”表示事件不发生,则形成正逻辑系统;反之则形成负逻辑系统。同一逻辑电路,既可用正逻辑表示,也可以用负逻辑表示。在本书中,只要未作特别声明,

18、均采用正逻辑。二、基本逻辑关系二、基本逻辑关系 有三种最基本的运算:与运算、或运算和非运算。逻辑代数的所有逻辑关系都可以由这三种基本运算关系组合得到。A A、B B都具备时,事件都具备时,事件L L才发生。才发生。设设开关闭为开关闭为“1”1”开关开为开关开为“0”0”灯亮为灯亮为“1”1”不亮为不亮为“0”0”则则A A、B B、与灯、与灯L L的关系为的关系为“与与”逻辑逻辑与逻辑与逻辑1.1.“与与”逻辑运算和与门(串联)逻辑运算和与门(串联)ELABL=ABL=AB逻辑式逻辑式逻辑与逻辑与(逻辑乘)(逻辑乘)0 00 00 01 10 00 00 01 10 01 11 11 1全全1

19、 1出出1 1有有0 0出出0 0A AL LB B逻辑真值表逻辑真值表逻辑符号逻辑符号&A AB BL L(a)符号 (b)波形图 以上介绍的是两个变量的与逻辑,多变量的与逻辑表达式可表示为 Y=ABCD 或Y=ABCD 2.“2.“或或”逻辑运算和或门逻辑运算和或门A A、B B只有一个具备时,事件只有一个具备时,事件L L就发生。就发生。A AE EL LB B开关闭为开关闭为“1 1”开关开为开关开为“0”0”灯亮为灯亮为“1 1”不亮为不亮为“0”0”则则A A、B B与灯与灯L L的关系为的关系为“或或”逻辑逻辑L=A+BL=A+B或逻辑式或逻辑式逻辑或逻辑或(逻辑加逻辑加)A A

20、L LB B1 10 01 10 00 00 00 01 11 11 11 11 1全全0 0出出0 0有有1 1出出1 1逻辑真值表逻辑真值表图1-4 或门逻辑符号与波形 在数字电路中,实现或逻辑功能的电路称为或门。或门逻辑符号如图1-4(a)所示。图1-4(b)表示或门输入端A、B波形与输出端Y波形的对应关系多变量或逻辑表达式可表示为 Y=A+B+C+D+3.“3.“非非”逻辑运算和非门逻辑运算和非门A A具备时具备时 ,事件,事件L L不发生;不发生;A A不具备时,事件不具备时,事件L L发生。发生。A AE EL LR R非逻辑非逻辑开关闭为开关闭为“1 1”开关开为开关开为“0”0

21、”灯亮为灯亮为“1 1”灯不亮为灯不亮为“0”0”则开关则开关A A与灯与灯L L的关系为的关系为“非非”逻辑逻辑逻辑式逻辑式逻辑非逻辑非逻辑反逻辑反AL A AL L0 01 11 10 0有有1 1出出0 0有有0 0出出1 1逻辑真值表逻辑真值表由图1-6可见,非门的逻辑功能是:输出状态与输入状态相反。因此,非门通常又称作反相器。图1-6 非门逻辑符号与波形基本逻辑的简单组合称为复合逻辑。&ABFBALL逻辑式逻辑式逻辑真值表逻辑真值表逻辑符号逻辑符号001101011110ALB三、常用复合逻辑三、常用复合逻辑1与非逻辑和与非门与非逻辑和与非门 由真值表可知,与非门的逻辑功能为:当输入

22、有低电平0时,输出为高电平1;当输入全为高电平1时,输出为低电平0。可简记为“有0出1、全1出0”。2或非逻辑和或非门或非逻辑和或非门 1BLFBALA将输入变量先进行或运算,然后再进行非运算。将输入变量先进行或运算,然后再进行非运算。0 00 01 11 10 00 00 01 10 01 11 10 0A AL LB B逻辑式逻辑式逻辑真值表逻辑真值表逻辑符号逻辑符号或非门的逻辑功能为:当输入全为低电平0时,输出为高电平1;当输入有高电平1时,输出为低电平0。可简记为“有1出0、全0出1”。3与或非逻辑与或非逻辑 与或非逻辑是与、或、非三种逻辑的复合,它是先与再或后非,其表达式为 DCBA

23、Y逻辑符号逻辑符号4 4、异或逻辑和同或逻辑:、异或逻辑和同或逻辑:(1)异或逻辑)异或逻辑当两个输入变量A、B的取值不同时,输出变量Y为1;当A、B的取值相同时,输出变量Y为0,这种逻辑关系叫做异或逻辑。可简记为“相异出1、相同出0”,其逻辑表达式为读作Y等于A异或B。实现异或逻辑功能的电路叫异或门.BABABAY(2)同或逻辑)同或逻辑 当两个输入变量A、B的取值相同时,输出变量Y为1;当A、B的取值不同时,输出变量Y为0,这种逻辑关系叫做同或逻辑,可简记为“相同出1、相异出0”,其逻辑表达式为 Y=A B=读作Y等于A同或B。实现同或逻辑功能的电路叫同或门,其逻辑符号如图1-7(e)所示

24、。ABAB 异或和同或的逻辑符号异或和同或的逻辑符号 真值表真值表 异或 同或链接四链接四 常用的集成逻辑门电路常用的集成逻辑门电路 逻辑门电路可以用电阻、电容、二极管、三极管、场效应管等元件构成,称为分立元件门,其中二极管、三极管和场效应管作为开关元件使用。也可以将构成门电路的所有器件及连接导线制作在同一块半导体基片上,成为集成逻辑门电路。分立元件门电路的体积大、工作速度低、可靠性差,在数字电路产品中广泛采用体积小、质量轻、功耗低、速度快、可靠性高的集成门电路。一、数字集成电路的类型和型号一、数字集成电路的类型和型号 常用的数字集成电路有2大类:第一类为晶体管晶体管逻辑电路,简称TTL电路;

25、第二类为金属一氧化物一半导体场效应晶体管逻辑电路,简称为MOS型集成电路,常用的是CMOS电路。TTL电路主要有TTL(标准TTL)、HTTL(高速TTL)、STTL(肖特基TTL)、LTTL(低功耗TTL)、LSTTL(低功耗肖特基TTL)、ALS(先进低功耗肖特基TTL)等6个系列等;CMOS电路主要有CMOS电路(标准CMOS)系列、HC(高速CMOS)系列、HCT(与TTL兼容的HCMOS)系列。国际通用的TTL门电路有74(商用)和54(军用)两个系列。对应TTL电路的6种类型,分别为54/74(标准系列)、54/74S(肖特基系列)、54/74LS(低功耗肖特基系列)、54/74A

26、LS(先进低功耗肖特基系列)等。我国生产的TTL集成电路型号与国际5474系列TTL电路系列完全一致,并采用了统一型号,共5部分组成。各部分命名及含义见表。表1-9 国标数字集成电路命名及意义第1部分第2部分(器件类型)第3部分(器件的系列品种)第4部分(器件的工作温度范围)第5部分(器件的封装)符号意义符号意义符号意义符号意义C中国制 造TECWFBJDTTLECLCMOS稳压器线性放大器非线性放大器接口电路音响、电视电路数字CERM070C-4085C-5585C-5585CWBFDPJKT陶瓷扁平塑料扁平全密封扁平陶瓷直插塑料直插黑陶瓷直插金属菱形金属圆形 注:表内CT系列中,第3部分数

27、字的第一位为系列代号(1为标准系列,同5474系列;2为高速系列,同国际54H74H系列;3为肖特基系列,同国际54S74S系列;4为低功耗肖特基系列,同国际54LS74LS系列);后面3位为品种代号,同国际一致。例如型号为CT4004CP的集成门电路为国产TTL,低功耗肖特基6反相器(相当于74LS04),工作温度070C,塑料直插封装。CC4011CD为国产CMOS电路,四2输入与非门,工作温度070C,陶瓷直插封装。二、常用集成逻辑门二、常用集成逻辑门 常用TTL和CMOS门电路的符号、功能表达式及特点分别汇总于表1-22(a)和(b)中。说明:说明:三态门三态门 三态门(OC)是指逻辑

28、门的输出除有高、低电平两种状态外,还有第三种状态高阻状态的门电路,高阻态相当于隔断状态。三态门都有一个EN控制使能端,来控制门电路的通断。计算机里面用 1和0表示是、非两种逻辑,但有时候这是不够的。举例来说:内存里面的一个存储单元,读写控制线处于低电位时,存储单元被打开,可以向里面写入;当处于高电位时,可以读出,但是不读不写,就要用高电阻态,既不是5v,也不是0v。传输门传输门传输门(TG)就是一种传输模拟信号的模拟开关,指可以控制通路通断的门,导通时,一端的信号可以传到另一端,不导通时,一端信号不能传到另一端。CMOS传输门由一个P沟道和一个N沟道增强型MOSFET并联而成,TP和TN是结构

29、对称的器件,它们的漏极和源极是可互换的。在正常工作时,模拟开关的导通电阻值约为数百欧,当它与输入阻抗为兆欧级的运放串接时,可以忽略不计。CMOS传输门除了作为传输模拟信号的开关之外,也可作为各种逻辑电路的基本单元电路。TTL门电路具有运行速度快,电源电压固定,有较强的带负载能力等特点。CMOS 数字集成电路与TTL 数字集成电路相比,有许多优点,如工作电掘电压范围宽,静态功耗低,抗干扰能力强,输入阻抗高,成本低等。三、集成逻辑门的主要参数及注意事项三、集成逻辑门的主要参数及注意事项 1集成逻辑门的主要参数(1)低电平输出电源电流ICCL 指所有输入端悬空,输出端空载,输出低电平时,电源提供给器

30、件的电流。(2)高电平输出电源电流ICCH 指每个门各有一个以上的输入端接地(最好全部接地),输出端空载,输出高电平时,电源提供的电流。(3)总的静态功耗PCCL ICCL和ICCH标志着器件静态功耗的大小,通常ICCLICCH,所以静态功耗为PCCL=VCCICCH。(4)低电平输入电流IiL 指被测输入端接地,其余输入端悬空时,由被测输入端流出的电流值。希望IiL越小 越好。(5)高电平输入电流IiH 指被测输入端接高电平,其余输入端接地,流入被测输入端的电流值。希望IiH越小 越好。因为IiH很小,微安级,一般免于测试。(6)扇出系数N0 指门电路能驱动同类门的个数,它是衡量门电路带负载

31、能力的一个参数。扇出系数NO的大小由驱动门输出端提供的驱动能力和负载门输入端对电流的需求两者决定。N0 =I0L/IiL 一般N0 8 其中:IoL 是指当VOL达到规定输出的低电平的规范值(一般为0.4V)时,门电路允许灌入的最大负载电流。(7)噪声容限)噪声容限 噪声容限指在保证输出高低电平在允许的变化范围内,输入电平允许的波动范围。噪声容限反映门电路抗干扰能力的大小。噪声容限分为低电平噪声容限UNL和高电平噪声容限UNH。在实际的电路中,往往是多个门电路相互连接组成系统,前一级门的输出就是后一级门的输入。可用图1-10示意描述TTL的噪声容限。图1-10 噪声容限 由图可知:低电平噪声容

32、限UNL=UIL(max)UIL 高电平噪声容限UNH=UIH UIH(min)UIL(max)和UIH(min)的数值越接近,则UNL和UNH的数值就越大,电路的抗干扰能力就越强。掌握以上这些参数的物理意义并正确选用,对我们合理、安全应用器件是很重要的。2TTL电路使用中的注意事项电路使用中的注意事项(1)正确选择电源电压)正确选择电源电压 TTL电路的电源均采用+5V,波动允许在5%的范围内(4.5V5.5V之间)。电源电压超过5.5V,易损坏器件;电源电压低于4.5V,则易导致器件出现逻辑错误。使用时,不能将电源与地颠倒接错。否则将会因为过大电流而造成器件损坏。(2)对输入端的处理)对输

33、入端的处理 TTL门电路的各个输入端不能直接与高于+5.5V和低于-0.5V的低内阻电源连接。对多余的输入端最好不要悬空。虽然悬空相当于高电平,并不影响“与门、与非门”的逻辑关系,但悬空容易受到干扰,可能导致电路误动作。多余输入端要根据实际需要作适当处理。例如“与门、与非门”的多余输入端可直接(或者经电阻)接到电源上;或将多余的输入端并联使用。对于“或门、或非门”的多余输入端应直接接地,或将多余的输入端并联使用。(3)对于输出端的处理)对于输出端的处理 TTL集成门电路的输出更不允许与电源或地短路。除“三态门、集电极开路门”外,电路的输出端不允许并联使用。另外,插入或拔出集成电路时,务必切断电

34、源,否则会因电源冲击而造成永久损坏。3CMOS集成电路使用中的注意事项电路使用中的注意事项(1)正确选择电源)正确选择电源 CMOS电路的工作电源电压范围比较宽,允许在+3+18V范围内。选择电源电压时首先考虑要避免超过极限电源电压。其次要注意电源电压的高低将影响电路的工作频率。(2)对输入端的处理)对输入端的处理 CMOS电路的输入端都设置二极管保护电路。为了防止输入端保护二极管反向击穿,输入电压必须处在VDD和Vss之间。输入端的电流一般不能超过1mA,如果可能出现较大电流时,必须在输入端串联适当电阻实施限流保护。多余的输入端不能悬空,应根据实际要求接入适当的电压,。例如“与门、与非门”的

35、多余输入端可接到电源上;对于“或门、或非门”的多余输入端可接低电平。(3)对输出端的处理)对输出端的处理 CMOS电路的输出端不能端直接与VDD或VSS连接,否则将导致器件损坏。除三态输出器件外,不允许两个器件的输出端并联使用。另外,由于CMOS电路输人阻抗高,容易受静电感应发生击穿,除电路内部设置保护电路外,在使用和存放时应注意静电屏蔽;焊接CMOS电路时,一般用20W内热式电烙铁,而且烙铁要有良好的接地线;也可以用电烙铁断电后的余热快速焊接;禁止在电路通电情况下焊接。更不能在通电的情况下,拔、插集成电路。【例1-7】指出图1-11所示电路中,TTL的输出各是什么状态(0或1)。解:Y1=0

36、;Y2=1;Y3=0;Y4=0【例1-8】指出图1-12所示电路中,CMOS的输出各是什么状态(0或1)。解:CMOS电路由于具有输入电阻大、静态电流几乎为0的特点,输入端电位的高低与外接电阻的大小无关,而直接取决于外接电位的高低。因此有:Y1=1;Y2=1;Y3=1;Y4=1【例1-9】要实现表达式所示功能,请改正图2-38电路中的错误。解:图(a):悬空端改接高电平;图(b):接地端改接高电平;图(c):悬空端改接低电平;图(d):异或门只有两个输入端。(a)CMOS (b)TTL (c)TTL (d)CBAY11、2输入输入4与非门与非门74LS00和和6反相器反相器74LS042、2输

37、入输入4与非门与非门CC4011和和6反相器反相器CC4069项目二项目二 表决器电路表决器电路知识目标知识目标 了解逻辑函数的基本表示形式及相互间的转换 掌握逻辑函数的基本定理及运算规则 掌握卡诺图法进行函数的化简方法 掌握组合逻辑电路的分析方法和设计方法技能目标技能目标 掌握组合逻辑电路分析方法 掌握组合逻辑电路的设计方法 掌握三人表决器的设计方法知识链接知识链接 链接一 逻辑函数的表示形式及基本定律 链接二 逻辑函数的化简 链接三 组合逻辑电路的分析和设计项目实训项目实训 任务一 基于multisim进行逻辑函数的化简 任务二 三人表决器的仿真设计 任务三 组合逻辑电路的设计与测试链接一

38、链接一 逻辑函数的表示形式及基本定律逻辑函数的表示形式及基本定律 数字电路中输入变量与输出变量之间的关系称为逻辑函数。数字电路中输入变量与输出变量之间的关系称为逻辑函数。逻辑函数的表示方法有真值表、逻辑函数表达式、逻辑图、逻辑函数的表示方法有真值表、逻辑函数表达式、逻辑图、卡诺图等形式。几种形式之间可以进行相互转换。卡诺图等形式。几种形式之间可以进行相互转换。一、真值表一、真值表 真值表可以直观地反映逻辑函数输入与输出间的对应关系。对于有n个输入的数字电路,每一个输入变量的取值有“0”和“1”两种,n个输入变量则有2n种取值组合,将全部的输入变量的取值组合和相应的输出结果在表格中列出,即得到逻

39、辑函数的真值表。三人表决器中:假设以A、B、C分别表示3个人的表决情况并作为输入,“1”表示同意,“0”表示不同意;用Y表示表决结果并作为输出,“1”表示表决通过,“0”表示表决未通过。根据表决器的功能,得到的真值表如表2-1所示具有唯一性。即:同一个逻辑函数,只有一个真值表。用“与”、“或”、“非”等逻辑关系组合起来可以表示逻辑函数的输入与输出间的逻辑关系。由此得到关系式的就是逻辑表达式。由真值表可以写出逻辑函数的表达式。真值表写出表达式的方法为:找出表中每组输出为1对应的输入组合,转换为变量形式,组合中为“1”的取值,转换为对应的原变量,为“0”的取值,转换为对应原变量的反变量,各变量进行

40、与运算(逻辑乘),得到一个乘积项;所以的乘积项再进行或运算(逻辑加)即得到对应的表达式。在前述三人表决器中真值表,输出为1对应的输入变量取值分别为:011、101、110、111四组,转换为变量形式为 、。对应的逻辑表达式为 Y=。二、逻辑表达式二、逻辑表达式BCACBACABABCBCACBACABABC 通过逻辑表达式可以写出真值表。方法为:把表达式中n个输入变量的2n个取值组合有序地写入真值表中;根据表达式所表示的逻辑关系确定对应的输出,填入表中即可。例Y=ABC 逻辑函数可以用表示门电路的逻辑符号连接而成,由此得到的电路图,称为逻辑图。逻辑图可以直观地反映逻辑函数的实现情况。由表达式可

41、以直接画出逻辑图,根据逻辑图也可以写出逻辑函数的表达式。以前述三人表决器为例,其逻辑表达式为 对应的逻辑图如图所示三、逻辑图三、逻辑图四、逻辑代数的基本定律四、逻辑代数的基本定律 在实现同一逻辑功能的前提下,逻辑表达式越简单,则需要门的数量越少,电路越简单。因此,逻辑表达式的化简是进行逻辑电路分析和设计必不可少的过程。逻辑代数的基本定律(或称基本公式)反映了逻辑运算的基本规律。101律律A0=0 A+0=A A1=A A+1=1 2交换律交换律AB=BA A+B=B+A 3结合律结合律A(BC)=(AB)C A+(B+C)=(A+B)+C 4分配律分配律A(B+C)=AB+AC A+(BC)=

42、(A+B)(A+C)5互补律互补律 6重叠律重叠律 AA=A A+A=A 7反演律(摩根律)反演律(摩根律)8还原律还原律 9吸收律吸收律 A(A+B)=A A+AB=A 0AA1AABABABABAAA五、常用公式五、常用公式 利用前面介绍的基本定律,可以得到如下常用公式。熟练地掌握和使用这些公式将为化简逻辑函数带来很多方便。(1)公式 (2)公式(3)公式(4)公式ABAABBABAABCAABBCCAABCAABBCDCAAB六、基本规则六、基本规则 逻辑代数中还有三个基本规则:代入规则、反演规则和对偶规则。这三个基本规则和基本定律一起构成了完整的逻辑代数系统,可以用来对逻辑函数进行描述

43、、推导和变换。1代入规则 在逻辑等式中,若将等式两边所出现的同一变量以一个逻辑函数代换后,该逻辑等式仍然成立。因为任何一个逻辑函数式也和任何一个逻辑变量一样,只有0和1两种可能的取值。原等式对某一变量成立,而将该变量以另一逻辑函数代替,等式自然也成立。2反演规则 对于任意一个逻辑函数Y,若将表达式中所有的“”换成“+”,“+”换成“”,“0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的新的逻辑函数表达式就是原函数Y的反函数Y。这就是反演规则。3对偶规则 对于任意一个逻辑函数Y,若将表达式中所有的“”换成“+”,“+”换成“”,“0”换成“1”,“1”换成“0”

44、,而变量形式不变,并保持原来的运算优先级,则得到一个新函数,称为Y的对偶式。对偶规则是:如果两个逻辑函数表达式相等,那么它们各自的对偶式一定相等。链接二链接二 逻辑函数的化简逻辑函数的化简 一、化简的意义一、化简的意义 从真值表得出的逻辑函数表达式,往往不是最简式。逻辑函数表达式与逻辑图有直接关系,表达式越简单,则实现该逻辑函数所需的逻辑关系就越少。这样既可节省集成电路数目,降低系统的成本;又可减少焊接点,大大提高电路的可靠性。因此需要对逻辑函数进行化简。一个逻辑函数可以有多种不同的表达式.从逻辑函数的真值表可以直接得到与或表达式,同时其他形式的表达式都容易展开成与或表达式,而最简的与或表达式

45、可以比较容易地得到其他类型的最简表达式。最简与或式的标准是:(1)乘积项的个数应该最少。(2)每个乘积项中所含变量的个数最少。化简逻辑函数的方法,常用的有代数法和卡诺图法。最简与或表达式最简与或表达式 一个逻辑函数可以有多种不同的表达式,例如:与或表达式 或与表达式 与非与非表达式 或非或非表达式 与或非表达式CAABY)BA)(CA(CABAACABBACA二、代数化简法二、代数化简法 代数化简法就是利用逻辑代数的基本定律和常用公式进行化简。1并项法并项法利用互补律 1AA将两项合并为一项,合并时消去一个变量.2吸收法吸收法利用吸收律A+AB=A,吸收掉AB这一项。3消去法消去法利用常用公式

46、BABAA消去多余因子A.4配项法配项法利用重叠律A+A=A来配项,以获得更加简单的化简结果CABCBACBACBAYCCBCBCB)AA(CB)AA((并项法)(并项法)CB)FE(DACBCB)FE(DCBACBY(吸收法)(吸收法)(消去法)(消去法)CABCABABC)BA(ABCBCAABYABCCABBCAABCCABBCAABY=BC+C=C(配项法)(配项法)上述几种方法是最常用的代数化简法。化简逻辑函数时可能使用其中一种方法,也可能要兼用几种方法,才能得到化简的结果。【例2-2】化简函数CBBDABCDBCABDDABCY解:CBBDABCDBCABDDABCYCBABCBD

47、ABDDBCDABCCBABCBDDBC)CAC(B)DDC(B)CA(B)DC(BCBABBDBCABBDBABBDCBBC=B 由例2-2可以看出,作为数字电路化简的一个基本工具,应该掌握一些常用的代数化简法。对于三变量和四变量的化简,更多使用的是的卡诺图化简法,相对于代数化简法,使用卡诺图法化简要容易得多。三、逻辑函数的卡诺图化简三、逻辑函数的卡诺图化简 1逻辑函数的最小项逻辑函数的最小项(1)最小项的定义)最小项的定义 在n变量的逻辑函数中,如果一个乘积项含有n个变量,而且每个变量以原变量或以反变量的形式在该乘积项中仅出现一次,则该乘积项称为n变量的最小项。例如,逻辑变量有A、B、C三

48、个,则逻辑变量的组合有23=8个,根据最小项的定义,相应最小项有:可见三个变量共有8个最小项。对于n个变量来说,共有2n个最小项。注意:提到最小项时,一定要说明变量的数目,否则最小项将失去意义。例如,对三变量的逻辑函数来说是最小项,而对于四变量的逻辑函数则不是最小项。(2)最小项的编号)最小项的编号 为便于叙述和书写,通常都要对最小项进行编号。编号的方法是,把使最小项为1的那一组变量取值组合视为二进制数,与其对应的十进制数,就是该最小项的编号。例如,三变量A、B、C的最小项 ,使它的值为1所对应的变量取值组合是000,相应的十进制数是“0”,因此最小项的编号是0,并记作m0。同理,最小项 对应

49、的变量取值组合为001,编号为1,记作m1,依此类推,=m2,=m3,ABC=m7,如表2-4所示。CBACBACBABCA(3)逻辑函数的最小项表达式)逻辑函数的最小项表达式 任何一个逻辑函数,都可以用若干最小项之和来表示,即最小项表达式。逻辑函数最小项表达式可由真值表直接写出,并且和真值表一样,也具有惟一性,即一个逻辑函数只有一个最小项表达式。真值表可以直接写出逻辑函数的最小项表达式,用逻辑代数的基本定律和公式,也可将逻辑函数的其他表达式展开或变换成最小项表达式。【例2-3】已知逻辑函数的真值表如表2-5所示,求函数Y的最小项表达式。解:由表2-5可知,使Y=1的输入变量A、B、C的取值组

50、合有010、011、110三组,相应的最小项有三项,所以,最小项表达式为 写成:Y=m2+m3+m6=m(2,3,6)CABBCACBAY【例2-4】写出函数Y(A、B、C)=AB+BC+CA的最小项表达式。解:2卡诺图化简逻辑函数卡诺图化简逻辑函数(1)卡诺图的画法卡诺图的画法 在有n个变量的逻辑函数中,如果两个最小项中只有一个变量不相同(互为反变量),而其余变量都相同,则称这两个最小项为逻辑相邻项。例如,三变量A、B、C的两个最小项ABC和就是逻辑相邻项。卡诺图是一种能够直观地表示出n变量全部最小项的逻辑相邻关系的方格图,也是逻辑函数的一直表达形式。卡诺图利用小方格代表最小项,并按照任何两

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 办公、行业 > 各类PPT课件(模板)
版权提示 | 免责声明

1,本文(全套电子课件:数字电子技术-第四套.ppt)为本站会员(三亚风情)主动上传,163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。
2,用户下载本文档,所消耗的文币(积分)将全额增加到上传者的账号。
3, 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(发送邮件至3464097650@qq.com或直接QQ联系客服),我们立即给予删除!


侵权处理QQ:3464097650--上传资料QQ:3464097650

【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。


163文库-Www.163Wenku.Com |网站地图|