半导体封装制程与设备材料知识介绍-FE-PPT课件.ppt

上传人(卖家):三亚风情 文档编号:3504409 上传时间:2022-09-08 格式:PPT 页数:72 大小:1.01MB
下载 相关 举报
半导体封装制程与设备材料知识介绍-FE-PPT课件.ppt_第1页
第1页 / 共72页
半导体封装制程与设备材料知识介绍-FE-PPT课件.ppt_第2页
第2页 / 共72页
半导体封装制程与设备材料知识介绍-FE-PPT课件.ppt_第3页
第3页 / 共72页
半导体封装制程与设备材料知识介绍-FE-PPT课件.ppt_第4页
第4页 / 共72页
半导体封装制程与设备材料知识介绍-FE-PPT课件.ppt_第5页
第5页 / 共72页
点击查看更多>>
资源描述

1、半导体前段晶圆wafer制程半导体后段封装测试封装前段(B/G-MOLD)封装后段(MARK-PLANT)测试封装就是將前製程加工完成後所提供晶圓中之每一顆IC晶粒獨立分離,並外接信號線至導線架上分离而予以包覆包装测试直至IC成品。Oxidization(氧化处理)Lithography(微影)Etching(蚀刻)Diffusion Ion Implantation(扩散离子植入)Deposition(沉积)Wafer Inspection(晶圆检查)Grind&Dicing(晶圓研磨及切割)Die Attach(上片)WireBonding(焊线)Molding(塑封)Package(包装

2、)Wafer Cutting(晶圆切断)Wafer Reduce(晶圆减薄)Laser Cut&package saw(切割成型)Testing(测试)Laser mark(激光印字)IC制造开始制造开始前段結束前段結束后段封装开始后段封装开始製造完成製造完成Through HoleMountShapeMaterialLead PitchNo of I/OTypical FeaturesCeramicPlastic2.54 mm(100miles)8 64DIPDual In-linePackagePlastic2.54 mm(100miles)1 direction lead325SIPSi

3、ngle In-linePackageThrough HoleMountShapeMaterialLead PitchNo of I/OTypical FeaturesPlastic2.54 mm(100miles)1 directionlead1624ZIPZigzagIn-linePackagePlastic1.778 mm(70miles)20 64S-DIPShrinkDual In-linePackageThrough HoleMountShapeMaterialLead PitchNo of I/OTypical FeaturesCeramicPlastic2.54 mm(100m

4、iles)half-size pitch in the width direction2432SK-DIPSkinnyDualIn-linePackageCeramicPlastic2.54 mm(100miles)PBGAPin GridArraySurfaceMountShapeMaterialLead PitchNo of I/OTypical FeaturesPlastic1.27 mm(50miles)2 direction lead8 40SOPSmallOutlinePackagePlastic1.0,0.8,0.65 mm4 direction lead88200QFPQuad

5、-FlatPackSurfaceMountShapeMaterialLead PitchNo of I/OTypical FeaturesCeramic1.27,0.762 mm(50,30miles)2,4 direction lead2080FPGFlatPackageof GlassCeramic1.27,1.016,0.762 mm(50,40,30 miles)2040LCCLeadlessChipCarrierSurfaceMountShapeMaterialLead PitchNo of I/OTypical FeaturesCeramic1.27 mm(50miles)j-sh

6、ape bend4 direction lead18124PLCCPlastic LeadedChip CarrierCeramic0.5 mm32200VSQFVerySmallQuadFlatpackDie Cure(Optional)Die BondDie SawPlasmaCard AsyMemory TestCleanerCard TestPacking for OutgoingDetaping(Optional)Grinding(Optional)Taping(Optional)WaferMountUV Cure(Optional)Laser markPost Mold CureM

7、oldingLaser CutPackage SawWire Bond SMT(Optional)SOP-Standard Operation Procedure 标准操作手册标准操作手册WI Working Instruction 作业指导书作业指导书 PM Preventive Maintenance 预防性维护预防性维护FMEA-Failure Mode Effect Analysis 失效模式影响分析失效模式影响分析SPC-Statistical Process Control 统计制程控制统计制程控制DOE-Design Of Experiment 工程试验设计工程试验设计IQC/O

8、QC-Incoming/Outing Quality Control 来料来料/出货质量检验出货质量检验MTBA/MTBF-Mean Time between assist/Failure 平均无故障工作时间平均无故障工作时间CPK-品质参数品质参数UPH-Units Per Hour 每小时产出每小时产出 QC 7 Tools(Quality Control 品品管管七工具七工具)OCAP(Out of Control Action Plan 异常改善计划异常改善计划)8D(问题解决八大步骤问题解决八大步骤)ECN Engineering Change Notice(制程变更通知制程变更通知

9、 )ISO9001,14001 质量管理体系质量管理体系前道后道EOLWire Bond引线键合Mold模塑Laser Mark激光印字Laser Cutting激光切割EVI产品目检 SanDisk Assembly Process Flow SanDisk 封装工艺流程封装工艺流程 Die Prepare芯片预处理ie Attach芯片粘贴Wafer IQC来料检验Plasma Clean清洗Plasma Clean清洗Saw Singulation切割成型 SMT表面贴装PMC模塑后烘烤SMT(表面贴装)-包括锡膏印刷(Solder paste printing),置件(Chip sho

10、oting),回流焊(Reflow),DI水清洗(DI water cleaning),自动光学检查(Automatic optical inspection),使贴片零件牢固焊接在substrate上StencilSubstrateSolder paste pringtingChip shootingReflowOvenDI water cleaningAutomatic optical inpectionCapacitorDI waterCameraHot windNozzlePADPADSolder pasteDie Prepare(芯片预处理)To Grind the wafer to

11、 target thickness then separate to single chip-包括来片目检(Wafer Incoming),贴膜(Wafer Tape),磨片(Back Grind),剥膜(Detape),贴片(Wafer Mount),切割(Wafer Saw)等系列工序,使芯片达到工艺所要求的形状,厚度和尺寸,并经过芯片目检(DVI)检测出所有由于芯片生产,分类或处理不当造成的废品.Wafer tapeBack GrindWafer DetapeWafer Saw Inline Grinding&Polish -Accretech PG300RM Transfer Coar

12、se Grind 90%Fine Grind 10%Centrifugal Clean Alignment&Centering Transfer Back Side Upward De-taping Mount 2.Grinding 相关材料A TAPE麦拉B Grinding 砂轮C WAFER CASSETTLE工艺对工艺对TAPE麦拉的要求:麦拉的要求:1。MOUNTlNo delamination STRONG2。SAW ADHESIONlNo die flying offlNo die crack工艺对麦拉的要求:工艺对麦拉的要求:3。EXPANDINGTAPE lDie dista

13、nceELONGATION lUniformity 4。PICKING UPWEAKADHESIONlNo contamination3.Grinding 辅助设备A Wafer Thickness Measurement 厚度测量仪 一般有接触式和非接触式光学测量仪两种;B Wafer roughness Measurement 粗糙度测量仪 主要为光学反射式粗糙度测量方式;4.Grinding 配套设备A Taping 贴膜机B Detaping 揭膜机C Wafer Mounter 贴膜机 Wafer Taping -Nitto DR300IICut TapeTaping Alignme

14、ntTransfer Transfer Back DetapingDetapingl Wafer mount Wafer frameDicing 设备:A DISCO 6361 系列B ACCERTECH 东京精密AW-300TMain Sections IntroductionlCutting Area:Spindles(Blade,Flange,Carbon Brush),Cutting Table,Axes(X,Y1,Y2,Z1,Z2,Theta),OPClLoader Units:Spinner,Elevator,Cassette,Rotation ArmBlade Close-Vie

15、wBladeCutting WaterNozzleCooling Water NozzleDie Sawing Disco 6361 1.Twin-Spindle Structure.2.X-axis speed:up to 600 mm/s.3.Spindle Rotary Speed:Up to 45000 RPM.4.Cutting Speed:Up to 80mm/s.5.Z-axis repeatability:1um.6.Positioning Accuracy:3um.RearFrontA Few ConceptslBBD(Blade Broken Detector)lCutte

16、r-set:Contact and OpticallPrecision InspectionlUp-Cut and Down-CutlCut-in and Cut-remainDicing 相关工艺A Die Chipping 芯片崩角B Die Corrosive 芯片腐蚀C Die Flying 芯片飞片Wmax,Wmin,Lmax ,DDY,DY 規格 DY 0.008mmWmax 0.070mmWmin 0.8*刀厚Lmax 1000,4 90/1004,8,11 9011,15uIC type loop typeCapillary Gold Wireu Gold Wire Manuf

17、acturer (Nippon,SUMTOMO,TANAKA.)u Gold Wire Data (Wire Diameter,Type,EL,TS)3.Wire Bond 辅助设备A Microscope 用于测loop heightB Wire Pull 拉力计(DAGE4000)C Ball Shear 球剪切力计D Plasma 微波/等离子清洗计Ball SizeBall Thicknessu 單位單位:um,Milu 量測倍率量測倍率:50Xu Ball Thickness 計算公式u 60 um BPP 1/2 WD=50%u 60 um BPP 1/2 WD=40%50%Bal

18、l SizeBall Size&Ball ThicknessLoop Heightu 單位單位:um,Milu 量測倍率量測倍率:20XLoop Height 線長線長Wire Pullu 1 Lifted Bond(Rejected)u 2 Break at neck(Refer wire-pull spec)u 3 Break at wire(Refer wire-pull spec)u 4 Break at stitch(Refer stitch-pull spec)u 5 Lifted weld(Rejected)Ball Shearu 單位單位:gram or g/milu Ball

19、 Shear 計算公式計算公式u Intermetallic(IMC有有75%的共晶的共晶,Shear Strength標準為標準為6.0g/mil。uSHEAR STRENGTHBall Shear/Area (g/mil)Ball Shear=x;Ball Size=y;Area=(y/2)x/(y/2)=z g/mil等离子工艺等离子工艺Plasma Processl气相-固相表面相互作用 Gas Phase-Solid Phase Interaction Physical and Chemicall分子级污染物去除Molecular Level Removal of Contamina

20、nts 30 to 300 Angstromsl可去除污染物包括 Contaminants Removedl难去除污染物包括 Difficult Contaminants Finger Prints Flux Gross Contaminants Oxides Epoxy Solder Mask Organic Residue Photoresist Metal Salts(Nickel Hydroxide)Plasma Clean March AP1000 1.Argon Condition,No oxidation.2.Vacuum Pump dust collector.3.Clean

21、Level:blob Test Angle 8 Degree.PlasmaPCB SubstrateDie+Electrode+ArWell Cleaned with Plasma 80 o 8 o Organic Contamination vs Contact AngleWater DropChipChipMold(模塑)To mold strip with plastic compound then protect the chip to prevent from damaged-塑封元件的线路,以保护元件免受外力损坏,同时加强元件的物理特性,便于使用.在模塑前要经过等离子清洗(Pre-

22、Mold Plasma Clean),以确保模塑质量.在模塑后要经过模塑后固化(Post Mold Cure),以固化模塑料.设备A TOWA YPS&Y-SeriesB ASA OMEGA 3.8机器上指示灯的说明:机器上指示灯的说明:1、绿灯机器处于正常工作状态;2、黄灯机器在自动运行过程中出现了报警提示,但机器不会立即停机;3、红灯机器在自动运行过程中出现了故障,会立即停机,需要马上处理。机器结构了解机器结构了解正面正面指示灯主机Tablet压机人机界面紧急停机按钮紧急停机按钮机器结构了解机器结构了解背面背面CULL BOX 用来装切下来的料饼;OUT MG 用来装封装好的L/F;配电柜用来安装整个模机的电源和PLC,以及伺服电机的SERVO PACK。CULL BOXOUT MG配电柜紧急停机按钮紧急停机按钮2.相关材料A Compound 塑封胶B Mold Chase 塑封模具模具介绍:模具介绍:型腔注塑孔胶道模具是由硬而脆的钢材加工而成的。所有的清洁模具的工具必须为铜制品,以免对模具表面产生损伤。严禁使用钨钢笔、cull等非铜材料硬质工具清洁模具。3.辅助设备A X-RAY X 射线照射机 -用于Mold 后对于产品的检查B Plasma 清洗机 -作用原理和WB 前的相同;Thanks for watching and listening

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公、行业 > 各类PPT课件(模板)
版权提示 | 免责声明

1,本文(半导体封装制程与设备材料知识介绍-FE-PPT课件.ppt)为本站会员(三亚风情)主动上传,163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。
2,用户下载本文档,所消耗的文币(积分)将全额增加到上传者的账号。
3, 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(发送邮件至3464097650@qq.com或直接QQ联系客服),我们立即给予删除!


侵权处理QQ:3464097650--上传资料QQ:3464097650

【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。


163文库-Www.163Wenku.Com |网站地图|