dmT第二讲QuartusII开发的环境及嵌入式逻辑分析仪使用课件.ppt

上传人(卖家):晟晟文业 文档编号:3711124 上传时间:2022-10-06 格式:PPT 页数:45 大小:671.55KB
下载 相关 举报
dmT第二讲QuartusII开发的环境及嵌入式逻辑分析仪使用课件.ppt_第1页
第1页 / 共45页
dmT第二讲QuartusII开发的环境及嵌入式逻辑分析仪使用课件.ppt_第2页
第2页 / 共45页
dmT第二讲QuartusII开发的环境及嵌入式逻辑分析仪使用课件.ppt_第3页
第3页 / 共45页
dmT第二讲QuartusII开发的环境及嵌入式逻辑分析仪使用课件.ppt_第4页
第4页 / 共45页
dmT第二讲QuartusII开发的环境及嵌入式逻辑分析仪使用课件.ppt_第5页
第5页 / 共45页
点击查看更多>>
资源描述

1、 3.1.Quartus 软件简介软件简介3.2.Quartus 软件主窗口软件主窗口3.3.Quartus 各功能窗口各功能窗口3.4.嵌入式逻辑分析仪使用嵌入式逻辑分析仪使用 第二讲、第二讲、Quartus 开发环境开发环境Quartus是是Altera公司的全公司的全功能,多平台的开发平台,功能,多平台的开发平台,同时也是一个综合性的同时也是一个综合性的SOPC开发环境。开发环境。Quartus包括了针对包括了针对CPLD和和FPGA开发过程中所有阶段的解决开发过程中所有阶段的解决方案。方案。如图所示是利用如图所示是利用Quartus进进行行CPLD/FPGA开发的基本开发的基本流程框图

2、。从图中可以看出,流程框图。从图中可以看出,Quartus软件实现了从设计软件实现了从设计输入到输入到下载的所有功能。下载的所有功能。第二讲、第二讲、Quartus 开发环境开发环境在设计输入阶段,在设计输入阶段,Quartus提提供了多种输入编辑器供了多种输入编辑器,支持硬支持硬件描述语言,图形描述的输件描述语言,图形描述的输入。同时还通过入。同时还通过MegaWizard提供参数化的模型库。提供参数化的模型库。综合阶段综合阶段,除了自身的综合除了自身的综合器器,Quartus还支持多种主流还支持多种主流的第三方的综合工具。这些的第三方的综合工具。这些综合工具可以无缝的衔接到综合工具可以无缝

3、的衔接到Quartus的设计开发流程中。的设计开发流程中。布局布线主要由布局布线主要由Quartus的的Fitter等工具完成。等工具完成。Quartus软件同时也支持增量软件同时也支持增量编译,编译,LogicLock。Quartus的主要工具的主要工具:2.1.Quartus 软件简介软件简介Quartus的主要工具的主要工具:Quartus的时序分析工具的时序分析工具提供设计实现中的各种时提供设计实现中的各种时序分析报告,用以对设计序分析报告,用以对设计做进一步的时序优化。做进一步的时序优化。Quartus也包含一个仿真也包含一个仿真器,并可以图形模式进行器,并可以图形模式进行波形仿真,

4、用以实现设计波形仿真,用以实现设计逻辑的功能和时序仿真。逻辑的功能和时序仿真。Quartus软件的编程器不软件的编程器不仅具有汇编下载仅具有汇编下载功能,也功能,也支持编程文件格式的转换,支持编程文件格式的转换,以支持目标系统不同的下以支持目标系统不同的下载方式。载方式。2.1.Quartus 软件简介软件简介如图是如图是Quartus软软件的开发件的开发设计流程设计流程图,图,Quartus支支持网表作持网表作为设计输为设计输入,同时入,同时也可输出也可输出网表文件网表文件到第三方到第三方工具进行工具进行分析和仿分析和仿真。真。电路输入电路输入编译综合编译综合仿真分析仿真分析编程下载编程下载

5、EDA软件、计算机、编程器软件、计算机、编程器EDA软件、计算机软件、计算机开发流程开发流程开发流程开发流程电电路路输输入入编编译译综综合合仿仿真真分分析析编编程程下下载载开发过程开发过程电电路路输输入入编编译译综综合合仿仿真真分分析析编编程程下下载载原理图方式原理图方式HDL方式方式原理图与原理图与HDL混合方式混合方式功能仿真功能仿真时序仿真时序仿真主动主动AS方式方式被动被动PS方式方式Altera综合工具综合工具第三方工具第三方工具 2.2.Quartus 软件主窗口软件主窗口2.2.Quartus 软件主窗口软件主窗口编辑器文档窗口编辑器文档窗口这是这是Quartus软件界面的主要部

6、分,所有的输软件界面的主要部分,所有的输入设计文档入设计文档都在此窗口显示和编辑。都在此窗口显示和编辑。2.2.Quartus 软件主窗口软件主窗口Project Navigator窗口窗口Project Navigator显示了当前工程的相关信息,并显示了当前工程的相关信息,并以图形的方式显示出工程的层次体系结构,显示以图形的方式显示出工程的层次体系结构,显示工程的文件,设计单元信息。同时也显示出工程工程的文件,设计单元信息。同时也显示出工程设计消耗的资源信息。设计消耗的资源信息。通过通过Project Navigator的的Files栏,可以在设计输入栏,可以在设计输入文件中快速的选择切换

7、。文件中快速的选择切换。通过右键通过右键-Customize Columns可以定制可以定制Project Navigator的显示信息。的显示信息。2.2.Quartus 软件主窗口软件主窗口Status窗口窗口Status窗口显示窗口显示Quartus软件在综合和编译过软件在综合和编译过程中的进度,并显示各项操作使用的时间。程中的进度,并显示各项操作使用的时间。2.2.Quartus 软件主窗口软件主窗口Message窗口和窗口和Tcl Console窗口窗口Message窗口显示窗口显示Quartus软件在处理过程中的软件在处理过程中的各种输出信息,比如综合过程的警告信息,以各种输出信息

8、,比如综合过程的警告信息,以及输入文件的出错信息。在设计输入过程中,及输入文件的出错信息。在设计输入过程中,主要就通过主要就通过Message窗口的输出信息对设计输入窗口的输出信息对设计输入进行修改。进行修改。Quartus软件也提供软件也提供Tcl控制台窗口,控制台窗口,quartus软软件的各项操作都可以通过件的各项操作都可以通过Tcl Console输入命令输入命令和用户编写和用户编写Tcl脚本来实现。脚本来实现。2.2.Quartus 软件主窗口软件主窗口 2.3.Quartus II 各功能窗口各功能窗口第二章、第二章、Quartus 开发环境开发环境Setting窗口窗口2.3.Q

9、uartus II 各功能窗口各功能窗口在菜单栏选择在菜单栏选择Assignments-Setting或者点击快或者点击快捷建捷建Ctl+Shift+E进入进入Setting窗口。窗口。和当前工程相关的所有设置信息都可以在这个和当前工程相关的所有设置信息都可以在这个窗口找到。包括设计项目文件管理,用户库设窗口找到。包括设计项目文件管理,用户库设置,器件选择和设置。置,器件选择和设置。EDA工具设置,综合设工具设置,综合设置,仿真设置,时序分析设置,优化设置等。置,仿真设置,时序分析设置,优化设置等。其中最基本的也就是其中最基本的也就是Device选项,包括选项,包括FPGA器器件的选择,不用的

10、管脚设置等,这是任何一个件的选择,不用的管脚设置等,这是任何一个工程都必须做的配置。工程都必须做的配置。2.3.Quartus II 各功能窗口各功能窗口Compiler Tool窗口窗口在菜单栏选择在菜单栏选择Tools-Compiler Tool即打开即打开Compiler Tool窗口。窗口。Quartus软件工具栏提供了对工程进行完全编译软件工具栏提供了对工程进行完全编译的按钮,通过的按钮,通过Compiler Tool窗口,可对设计中窗口,可对设计中的各个流程进行单独的操作并分别查看每一步的各个流程进行单独的操作并分别查看每一步的输出结果。的输出结果。2.3.Quartus II 各

11、功能窗口各功能窗口Simulator Tool窗口窗口 在菜单栏选择在菜单栏选择Tools-Simulator Tool即打开即打开Simulator Tool窗口。窗口。当用户完成设计文件当用户完成设计文件的输入和全编译以后,的输入和全编译以后,在在Quartus中选择菜单中选择菜单栏栏-Processing-Generate Functional Simulation netlist生成生成仿真用的网表文件,再仿真用的网表文件,再新建新建vwf文件,并设置文件,并设置好输入端波形好输入端波形,即可进即可进入入Simulator Tool窗口进窗口进行波形仿真并查看输出行波形仿真并查看输出波

12、形是否符合设计要求。波形是否符合设计要求。2.3.Quartus II 各功能窗口各功能窗口向量波形文件编辑窗口向量波形文件编辑窗口波形仿真之前要新建向量波形文件并设置好各输入端波形仿真之前要新建向量波形文件并设置好各输入端的波形。的波形。新建向量波形文件之后即进入编辑界面,在编辑界面新建向量波形文件之后即进入编辑界面,在编辑界面下,用户可以导入需要仿真的节点,并利用波形绘制下,用户可以导入需要仿真的节点,并利用波形绘制工具各输入端的波形。工具各输入端的波形。2.3.Quartus II 各功能窗口各功能窗口MegaWizard 窗口窗口2.3.Quartus II 各功能窗口各功能窗口在菜单

13、栏选择在菜单栏选择Tools-MegaWizard Plug-in Manager即进入即进入MegaWizard窗口。窗口。Altera提供了一系列可以直接调用的功能模块和组件,这一提供了一系列可以直接调用的功能模块和组件,这一集合称为集合称为MegaCore,这些模块和组件既有,这些模块和组件既有Altera的参数化的参数化模型库,也有第三方公司提供的模型库,也有第三方公司提供的IP Core。在。在Quartus中中,通通过过MegaWizard来调用来调用MegaCore中的各种模块和组件。中的各种模块和组件。在在MegaWizard窗口中列出了已有的模块和组件。主要包括:窗口中列出了

14、已有的模块和组件。主要包括:Arithmetic:一些数学运算功能模块。:一些数学运算功能模块。Communication:几个通信功能模块。:几个通信功能模块。DSP:数字信号处理常用到的:数字信号处理常用到的IP,例如数字滤波器和,例如数字滤波器和FFT。Interface:通用的接口:通用的接口IP,例如,例如PCI。另外还有以参数化模型库的形式实现的和另外还有以参数化模型库的形式实现的和Altera FPGA芯片芯片结构相关的各种存储和结构相关的各种存储和IO模块。模块。2.3.Quartus II 各功能窗口各功能窗口Timing Analyzer Tool窗口窗口在菜单栏选择在菜单

15、栏选择Tools-Timing Analyzer Tool即打开即打开Timing Analyzer Tool窗口。窗口。Timing Analyzer Tool对综合后的工程做时序分析,对综合后的工程做时序分析,给出各项时序参数,并计算出现有设计运行的最高频给出各项时序参数,并计算出现有设计运行的最高频率,同时给出最差的时序情况,以便进一步的进行约率,同时给出最差的时序情况,以便进一步的进行约束和优化。束和优化。2.3.Quartus II 各功能窗口各功能窗口1.它们的使用不增加引脚。可通过它们的使用不增加引脚。可通过FPGA 上已有的专门上已有的专门JTAG引脚访问,即使没有其它可用引脚

16、,这种调试引脚访问,即使没有其它可用引脚,这种调试方法也能得到内部可视能力。方法也能得到内部可视能力。2.简单的探测。探测包括把结点路由到内部逻辑分析仪简单的探测。探测包括把结点路由到内部逻辑分析仪的输入,不需要担心为得到有效信息,应如何连接到的输入,不需要担心为得到有效信息,应如何连接到电路板上,也不存在信号完整性问题。电路板上,也不存在信号完整性问题。3.内核是便宜的。内核是便宜的。FPGA厂商把他们的业务模型建立于厂商把他们的业务模型建立于用芯片所获取价值的基础上,所以所用的调试用芯片所获取价值的基础上,所以所用的调试IP 通常通常能以低于能以低于$1,000美元的价格获得。美元的价格获

17、得。注:内核包含触发电路,以及用于设置测量和内部注:内核包含触发电路,以及用于设置测量和内部RAM,以保存数据的资源。以保存数据的资源。内嵌式逻辑分析仪的使用内嵌式逻辑分析仪的使用DDS设计设计 数字直接频率综合技术(Direct Digital Frequency Synthesis,简称DDS)的基本原理是利用Nyquist时域采样定理,在时域中进行频率合成,通过查表法产生波形,DDS的频率及步进容易控制,且合成的频率取决于累加器及查找表的速度。DDS设计设计 DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波(本例加入三角波,方波)。电路一般包括基准时钟、频率累加器、相位累

18、加器、幅度/相位转换电路、D/A转换器。频率累加器对输入信号进行累加运算,产生频率控制数据(frequency data或相位步进量)。相位累加器相位累加器波形信号产生波形信号产生幅度控制幅度控制决定输出信决定输出信号的频率和号的频率和相位相位存储各种存储各种波形数据波形数据DDS结构图结构图DA输出控制输出控制步长输入及步长输入及频率控制频率控制波形控制波形控制输入输入幅度控制幅度控制输入输入DDS设计设计 DDS设计实验:1、能够产生正弦波、方波、三角波等三种波形。2、相位累加器步长可控,累加频率可控;3、输出信号幅度可控;4、可通过嵌入式逻辑分析仪观察波形;1.内核的尺寸限制了内核的尺寸

19、限制了FPGA中逻辑资源的利用。此外中逻辑资源的利用。此外2.由于波形数据占用由于波形数据占用FPGA内部存储器,使信号采样内部存储器,使信号采样的数的数3.据量有限。据量有限。2.设计工程师必须放弃把内部存储器用于调试,存设计工程师必须放弃把内部存储器用于调试,存储储3.器的利用取决于系统的设计。器的利用取决于系统的设计。3.内部逻辑分析仪只工作于状态模式。它们捕获的内部逻辑分析仪只工作于状态模式。它们捕获的数数4.据与规定的时钟同步,据与规定的时钟同步,而不能提供信号定时关系。而不能提供信号定时关系。六、六、嵌入式逻辑分析仪嵌入式逻辑分析仪数据捕获分为两类:数据捕获分为两类:异步捕获异步捕

20、获获取信号的时间信息。在这个模获取信号的时间信息。在这个模式中,逻辑分析仪内部时钟用于数据取样,取式中,逻辑分析仪内部时钟用于数据取样,取样速度越快,测量分辨率越高。在目标设备与样速度越快,测量分辨率越高。在目标设备与分析仪捕获的数据之间分析仪捕获的数据之间,没有固定的时间关系。没有固定的时间关系。当当SUT信号间的时间关系成为主要考虑信号间的时间关系成为主要考虑因素时,通常使用这种捕获模式。因素时,通常使用这种捕获模式。六、六、嵌入式逻辑分析仪嵌入式逻辑分析仪注:注:SUT为待测系统为待测系统同步捕获同步捕获用于获取用于获取SUT“状态状态”。一个源自。一个源自SUT的信号确定了取样点(何时

21、、间隔多久一的信号确定了取样点(何时、间隔多久一次)。用于为捕获确定时间的信号可以是系统次)。用于为捕获确定时间的信号可以是系统时钟、总线控制信号或一个引发时钟、总线控制信号或一个引发SUT改变状态改变状态的信号。数据在活动边缘取样,代表逻辑信号的信号。数据在活动边缘取样,代表逻辑信号稳定时稳定时SUT所处的状态。当且仅当所选信号有所处的状态。当且仅当所选信号有效时,逻辑分析仪才能够完成取样操作。此效时,逻辑分析仪才能够完成取样操作。此时,不考虑两个时钟事件之间所发生的操作。时,不考虑两个时钟事件之间所发生的操作。六、六、嵌入式逻辑分析仪嵌入式逻辑分析仪 SignalTap II 逻辑分析仪是

22、第二代系统级调试工具,能够获逻辑分析仪是第二代系统级调试工具,能够获取、显示可编程片上系统(取、显示可编程片上系统(SOPC)的实时信号,帮助工程师在)的实时信号,帮助工程师在其系统设计中观察硬件和软件的交互作用。其系统设计中观察硬件和软件的交互作用。下图是下图是SignalTap II嵌入式逻辑分析仪的组成。嵌入式逻辑分析仪的组成。SignalTap II嵌入式逻辑分析仪不需要对用户设计文件进行任何的外部探测嵌入式逻辑分析仪不需要对用户设计文件进行任何的外部探测或者修改,就可以得到内部节点或者或者修改,就可以得到内部节点或者I/O引脚的状态。引脚的状态。3.内嵌式逻辑分析仪的使用内嵌式逻辑分

23、析仪的使用1.1.每个器件上可由多个逻辑分析仪;每个器件上可由多个逻辑分析仪;2.2.单个单个JTAG链上多个器件的逻辑分析仪;链上多个器件的逻辑分析仪;3.3.每个分析仪具有每个分析仪具有1010个基本或高级触发级别;个基本或高级触发级别;4.4.灵活的缓冲获得模式捕获周期事件;灵活的缓冲获得模式捕获周期事件;5.5.分段缓冲获得模式;分段缓冲获得模式;6.6.每个器件上最多每个器件上最多1,0241,024个通道;个通道;7.7.每个通道上最大每个通道上最大128K128K采样;采样;8.8.时钟支持超过时钟支持超过200MHz200MHz;9.9.助记和基数表;助记和基数表;10.10.

24、多总线显示格式;多总线显示格式;11.11.多文件格式输出数据。多文件格式输出数据。七、七、SignalTap II 主要功能主要功能每个器件上的多个逻辑分析仪每个器件上的多个逻辑分析仪SignalTap II逻辑分析仪在每个器件中支持逻辑分析仪逻辑分析仪在每个器件中支持逻辑分析仪IP函数的多个实例。此特性实现了器件中每个时钟域上单函数的多个实例。此特性实现了器件中每个时钟域上单独且唯一的嵌入式逻辑分析仪功能。独且唯一的嵌入式逻辑分析仪功能。实例管理器对话框识别出设计中等待测试的所有验证过实例管理器对话框识别出设计中等待测试的所有验证过的逻辑分析仪,他们可以用来捕获并存储数据。该对话的逻辑分析

25、仪,他们可以用来捕获并存储数据。该对话框还可以对用来生成每个分析仪的资源进行估算。框还可以对用来生成每个分析仪的资源进行估算。七、七、SignalTap II 主要功能(主要功能(1)七、七、SignalTap II 主要功能(主要功能(2)每个分析仪具有每个分析仪具有10个基本或高级触发级别个基本或高级触发级别基本触发功能基本触发功能使用基本触发条件,可以对给定的信号或者总线指定数使用基本触发条件,可以对给定的信号或者总线指定数值,而不必关心其上升沿、下降沿,电平的高低或任何值,而不必关心其上升沿、下降沿,电平的高低或任何一个边沿条件。一个边沿条件。高级触发功能高级触发功能设计人员使用高级触

26、发功能提供的图形界面,可以轻松设计人员使用高级触发功能提供的图形界面,可以轻松的生成用户定义的触发逻辑,对总线状态和单个信号进的生成用户定义的触发逻辑,对总线状态和单个信号进行比较;此功能实现了更高的精度以及问题解决能力。行比较;此功能实现了更高的精度以及问题解决能力。七、七、SignalTap II 主要功能(主要功能(3)灵活的缓冲获得模式灵活的缓冲获得模式 SignalTap II逻辑分析仪对环形缓冲模式支持逻辑分析仪对环形缓冲模式支持4个触发位置;个触发位置;这样,当触发条件满足后,用户可以更多的控制应该捕获并显这样,当触发条件满足后,用户可以更多的控制应该捕获并显 示什么样的数据。示

27、什么样的数据。4个触发位置为:个触发位置为:前前“前前”触发位置向软件表明,在达到触发条件前,触发位置向软件表明,在达到触发条件前,保存所发生采样的保存所发生采样的12,达到触发条件后达到触发条件后,再保存采样的再保存采样的88。中中“中中”触发位置向软件表明,在达到触发条件前,触发位置向软件表明,在达到触发条件前,保存所发生采样的保存所发生采样的50,达到触发条件后达到触发条件后,再保存采样的再保存采样的50。后后“后后”触发位置向软件表明,在达到触发条件前,触发位置向软件表明,在达到触发条件前,保存所发生采样的保存所发生采样的88,达到触发条件后达到触发条件后,再保存采样的再保存采样的12

28、。连续连续“连续连续”触发位置向软件表明,以环形缓冲的方触发位置向软件表明,以环形缓冲的方式进行连续采样保存,直到用户中断为止。式进行连续采样保存,直到用户中断为止。七、七、SignalTap II 主要功能(主要功能(4)分段缓冲获得模式捕获周期事件分段缓冲获得模式捕获周期事件用户使用此模式,可以将用户使用此模式,可以将缓冲获得存储器缓冲获得存储器进行分段进行分段处理处理,这样就可以多次捕获同一个事件这样就可以多次捕获同一个事件,而不浪费而不浪费储存器资源。此功能特别适用于捕获周期事件。储存器资源。此功能特别适用于捕获周期事件。7.SignalTap II 主要功能(主要功能(5)每个器件上

29、最多每个器件上最多1,024个通道个通道一个一个专用设计中所能够支持的通道数量,很大程度上专用设计中所能够支持的通道数量,很大程度上取决于可以使用的器件资源(如逻辑单元(取决于可以使用的器件资源(如逻辑单元(LE)和)和RAM)。)。SignalTap II逻辑分析仪可以管理来自每个逻辑分析仪可以管理来自每个器件上一个或多个逻辑分析仪宏函数的器件上一个或多个逻辑分析仪宏函数的1,024个通道。个通道。每个通道上最大每个通道上最大128K的采样深度的采样深度可以储存在可以储存在Altera器件嵌入式储存器中的采样数量取器件嵌入式储存器中的采样数量取决于器件中的剩余存储器资源,这些资源在设计测试决

30、于器件中的剩余存储器资源,这些资源在设计测试中没有使用。中没有使用。SignalTap II逻辑分析仪可以单独支持每逻辑分析仪可以单独支持每通道通道128K采样。采样。时钟支持超过时钟支持超过200MHz许多含有许多含有FPGA的复杂数字系统具有速率超过的复杂数字系统具有速率超过200MHz的时钟。如果要支持超过的时钟。如果要支持超过200MHz的时钟频率,设计的时钟频率,设计人员可以以系统速率对数据进行采样。人员可以以系统速率对数据进行采样。七、七、SignalTap II 主要功能(主要功能(6)助记和基数表助记和基数表 当需要处理许多信号时,所捕获的信号易读、易懂是非当需要处理许多信号时

31、,所捕获的信号易读、易懂是非常重要的。常重要的。SignalTap II软件同时具有助记功能(名称同比软件同时具有助记功能(名称同比特图样相联系)和可选择基数表功能(二进制、八进制、十特图样相联系)和可选择基数表功能(二进制、八进制、十六进制、无符号、符号以及二元补码等)。助记功能可以同六进制、无符号、符号以及二元补码等)。助记功能可以同数据波形视图中的一组信号相关联。助记表可以根据信号组数据波形视图中的一组信号相关联。助记表可以根据信号组中比特的数量进行定义。如果相同比特长度定义了多个助记中比特的数量进行定义。如果相同比特长度定义了多个助记表,用户可以指定特定的信号组应采用哪个表。用户可以从

32、表,用户可以指定特定的信号组应采用哪个表。用户可以从一个一个SignalTap II文件输入助记表到另一个文件中。文件输入助记表到另一个文件中。多总线显示格式多总线显示格式另一个使所捕获的数据更加易懂的方式是以用户指定的格式另一个使所捕获的数据更加易懂的方式是以用户指定的格式识别和显示总线。识别和显示总线。SignalTap II嵌入式逻辑分析仪能够以等嵌入式逻辑分析仪能够以等价的十六进制,无符号十进制,二元补码形式的符号十进制,价的十六进制,无符号十进制,二元补码形式的符号十进制,符号大小表示法表示的符号十进制、八进制、二进制、符号大小表示法表示的符号十进制、八进制、二进制、8 8比比特特A

33、SCIIASCII等格式来显示总线。用户还可以选择条形图或者线等格式来显示总线。用户还可以选择条形图或者线性图表示总线时间关系。性图表示总线时间关系。七、七、SignalTap II 主要功能(主要功能(7)多文件格式输出数据多文件格式输出数据SignalTap II嵌入式逻辑分析仪可以采用矢量波嵌入式逻辑分析仪可以采用矢量波形形(.vwf)、矢量表、矢量表(.tbl)、矢量文件、矢量文件(.vec)、逗号、逗号分割数据分割数据(.csv)和和Verilog数值更改转存数值更改转存(.vcd)文文件格式输出所捕获的数据。这些文件格式可以件格式输出所捕获的数据。这些文件格式可以被第三方验证工具读

34、入,显示和分析被第三方验证工具读入,显示和分析SignalTap II嵌入式逻辑分析仪所捕获的数据。嵌入式逻辑分析仪所捕获的数据。七、七、SignalTap II 主要功能(主要功能(8)1、新建、新建STP文件;文件;2、配置文件中相关的测试参数;、配置文件中相关的测试参数;3、将、将STP文件同原有的设计下载到文件同原有的设计下载到FPGA中;中;4、在、在SignalTap II窗口下查看逻辑分析仪捕获结果。窗口下查看逻辑分析仪捕获结果。5、捕获结果的显示、分析等等。、捕获结果的显示、分析等等。SignalTap II可将数据通过多余的可将数据通过多余的I/O引脚引脚输出,以供外设的逻辑

35、分析器使用;或输出为输出,以供外设的逻辑分析器使用;或输出为csv、tbl、vcd、vwf文件格式以供第三方仿真文件格式以供第三方仿真工具使用。工具使用。3.内嵌式逻辑分析仪的使用内嵌式逻辑分析仪的使用采样时钟决定了显示信号波形的分辨率,采样时钟决定了显示信号波形的分辨率,它的频率要大于被测信号的最高频率,否则无法正确反映被测它的频率要大于被测信号的最高频率,否则无法正确反映被测信号波形的变化。信号波形的变化。SignalTap II在时钟上升沿将被测信号存储到在时钟上升沿将被测信号存储到缓存。缓存。可以使用可以使用Node Finder 中的中的 SignalTap II 滤滤波器查找所有预

36、综合和布局布线后的波器查找所有预综合和布局布线后的SignalTap II 节点,添加要节点,添加要观察的信号。逻辑分析器不可测试的信号包括:逻辑单元的进观察的信号。逻辑分析器不可测试的信号包括:逻辑单元的进位信号、位信号、PLL的时钟输出、的时钟输出、JTAG引脚信号、引脚信号、LVDS(低压差分)(低压差分)信号。信号。SignalTap II所能显示所能显示的被测信号波形的时间长度为的被测信号波形的时间长度为Tx,计算公式如下:,计算公式如下:Tx=N*Ts(N为缓存中存储的采样点数,为缓存中存储的采样点数,Ts为采样时钟的周期为采样时钟的周期)2、测试参数的配置测试参数的配置(1)3.

37、内嵌式逻辑分析仪的使用内嵌式逻辑分析仪的使用buffer acquisition mode)包括循环采样存储、连续存储两种模式。循环采包括循环采样存储、连续存储两种模式。循环采样存储也就是分段存储,将整个缓存分成多个片样存储也就是分段存储,将整个缓存分成多个片段段(segment),每当触发条件满足时就捕获一段数,每当触发条件满足时就捕获一段数据。该功能可以去掉无关的数据,使采样缓存的据。该功能可以去掉无关的数据,使采样缓存的使用更加灵活使用更加灵活。SignalTap II支持多触发级的触发方支持多触发级的触发方式,最多可支持式,最多可支持10级触发。级触发。可以设定复杂的触发条件用来捕获可以设定复杂的触发条件用来捕获相应的数据,以协助调试设计。当触发条件满足相应的数据,以协助调试设计。当触发条件满足时,在时,在SignalTap II时钟的上升沿采样被测信号。时钟的上升沿采样被测信号。九、九、测试参数的配置(测试参数的配置(2)

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公、行业 > 各类PPT课件(模板)
版权提示 | 免责声明

1,本文(dmT第二讲QuartusII开发的环境及嵌入式逻辑分析仪使用课件.ppt)为本站会员(晟晟文业)主动上传,163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。
2,用户下载本文档,所消耗的文币(积分)将全额增加到上传者的账号。
3, 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(发送邮件至3464097650@qq.com或直接QQ联系客服),我们立即给予删除!


侵权处理QQ:3464097650--上传资料QQ:3464097650

【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。


163文库-Www.163Wenku.Com |网站地图|