控制器-ppt课件.ppt

上传人(卖家):三亚风情 文档编号:2641728 上传时间:2022-05-14 格式:PPT 页数:228 大小:6.49MB
下载 相关 举报
控制器-ppt课件.ppt_第1页
第1页 / 共228页
控制器-ppt课件.ppt_第2页
第2页 / 共228页
控制器-ppt课件.ppt_第3页
第3页 / 共228页
控制器-ppt课件.ppt_第4页
第4页 / 共228页
控制器-ppt课件.ppt_第5页
第5页 / 共228页
点击查看更多>>
资源描述

1、控制器控制器学习内容学习内容重点重点存储程序计算机结构存储程序计算机结构 运算器运算器 (ALU) 控制器控制器 存储器存储器 输入设备输入设备 输出设备输出设备 数据流数据流 控制流控制流 计计算算机机总总框框图图移位器移位器ALU锁存器锁存器 1锁存器锁存器 2寄存器组寄存器组R0Rn-1.R1CPU 内部总线内部总线flag运算器运算器MBR地址译码器地址译码器存储体存储体.MAR存储时序控制线路存储时序控制线路CPTSG微操作控制部件微操作控制部件IDIR状态状态XR地址计算地址计算ARPC+1.I/O设备设备I/O设备设备设备控制器设备控制器设备控制器设备控制器I/O控制部件控制部件

2、.系统总线系统总线输入输出设备输入输出设备微操作微操作控制信号控制信号到内存选址系统到内存选址系统节拍信号节拍信号指令译码指令译码控制器控制器内存储器内存储器存储存储器器ADD R1,1000H基本概念基本概念基本概念基本概念指令流指令流 计算机执行的指令序列计算机执行的指令序列数据流数据流 根据指令要求依次访问的数据序列根据指令要求依次访问的数据序列控制流控制流 由控制器发出的控制信号序列由控制器发出的控制信号序列基本概念基本概念控制器的功能(从程序执行角度看)控制器的功能(从程序执行角度看) 对指令流和数据流实施正确控制对指令流和数据流实施正确控制 在空间上,由控制器形成受控部件的控制信号

3、在空间上,由控制器形成受控部件的控制信号 在时间上,控制器控制各种动作的执行顺序在时间上,控制器控制各种动作的执行顺序基本概念基本概念存储器存储器运算器运算器控制器控制器PC IR ID指令控制指令控制操作控制操作控制时间控制时间控制数据加工数据加工处理中断处理中断ALU 寄存器寄存器 XR 地址运算地址运算中断系统中断系统CU 时序电路时序电路寄存器寄存器ALU 中断中断系统系统CUCPU控制总线控制总线数据总线数据总线地址总线地址总线1) 用户可见寄存器用户可见寄存器(1) 通用寄存器通用寄存器n CPU 的寄存器的寄存器存放操作数存放操作数可作可作 某种寻址方式所需的某种寻址方式所需的

4、专用寄存器专用寄存器(2) 数据寄存器数据寄存器存放操作数存放操作数(满足各种数据类型)(满足各种数据类型)两个寄存器拼接存放双倍字长数据两个寄存器拼接存放双倍字长数据(3) 地址寄存器地址寄存器存放地址存放地址,其位数应满足最大的地址范围,其位数应满足最大的地址范围用于特殊的寻址方式用于特殊的寻址方式 段基值段基值 栈指针栈指针(4) 条件码寄存器条件码寄存器存放条件码存放条件码,可作程序分支的依据,可作程序分支的依据如如 正、负、零、溢出、进位等正、负、零、溢出、进位等2) 控制和状态寄存器控制和状态寄存器(1) 控制寄存器控制寄存器PC控制控制 CPU 操作操作(2) 状态寄存器状态寄存

5、器状态寄存器状态寄存器其中其中 MAR MBR IR 用户不可见用户不可见 存放条件码存放条件码用户可见用户可见 PSW 寄存器寄存器存放程序状态字存放程序状态字用户可见用户可见 PC 用户可见用户可见 MARMMBRIRn CPU 的寄存器的寄存器控制器设计的主要任务控制器设计的主要任务控制信号控制信号 控制器需要产生对控制器需要产生对存储器、运算器存储器、运算器和和输入输入/输出部件输出部件的正确控制信号序列的正确控制信号序列研究工作研究工作 这些控制信号产生的这些控制信号产生的时间时间和和方法方法知识点知识点了解实例计算机中的指令系统了解实例计算机中的指令系统理解实例计算机指令的含义理解

6、实例计算机指令的含义重点重点了解实例计算机中的指令系统了解实例计算机中的指令系统指令流的产生和控制过程指令流的产生和控制过程 停机停机 修改修改PC PC +1 HALT指令?指令? BRANCH指令指令? 解释并执行该指令解释并执行该指令 从从PC指定的存储器单元取指令指定的存储器单元取指令 是是 是是 如何根据指令流产如何根据指令流产生控制流是控制器生控制流是控制器完成的主要工作,完成的主要工作,而控制信号则是由而控制信号则是由控制器对指令字进控制器对指令字进行分析处理后产生行分析处理后产生指令字通常由指令字通常由操作码和地址字段操作码和地址字段组成组成操作码字段操作码字段用于定义指令的操

7、作用于定义指令的操作地址字段地址字段用于指示指令所操作对象的位置用于指示指令所操作对象的位置 一条指令的地址字段可以包含若干个地址一条指令的地址字段可以包含若干个地址 指令格式指令格式可以分为固定长度与可变长度可以分为固定长度与可变长度 RISC计算机多采用固定长度指令格式计算机多采用固定长度指令格式指令通常为指令通常为4个字节(个字节(32位)或者位)或者8个字节(个字节(64位)位) CISC计算机通常不是固定长度指令格式,其计算机通常不是固定长度指令格式,其指令格式比指令格式比RISC指令格式要复杂指令格式要复杂 操操作作码码字字段段 地地址址字字段段 765 43210 op addr

8、ess 操操作作码码字字段段 地地址址字字段段 765432 10 op address (a)基本基本1地址指令地址指令 (b)扩展扩展1地址指令地址指令 采用可变长采用可变长度操作码度操作码 :25=32个存储个存储单元单元实例机的指令、数据以及堆栈共享该存实例机的指令、数据以及堆栈共享该存储空间储空间 : 00011111B实例机的指令实例机的指令指令系统还指令系统还可以扩展可以扩展?表表5.1 实例计算机的指令实例计算机的指令指指 令令 符符功功 能能操作码操作码指令类型指令类型汇汇 编编 记记 忆忆 码码ADD加法加法0001地址指令地址指令ADD addressSUBTRACT减法

9、减法0011地址指令地址指令SUBTRACT addressSTORE存储存储0101地址指令地址指令STORE addressLOAD读出读出0111地址指令地址指令LOAD addressBRANCH条件转移条件转移1001地址指令地址指令BRANCH addressCALL转子转子1011地址指令地址指令CALL addressCLEAR清累加器清累加器1110000地址指令地址指令CLEARRETURN子程序返回子程序返回1110010地址指令地址指令RETURNSHIFTR右移右移1111011地址指令地址指令SHIFTR counterSHIFTL左移左移1111101地址指令地址

10、指令SHIFTL counter加法指令的格式:加法指令的格式:加法指令的功能:加法指令的功能:AC:=AC + Memory(address); 操操作作码码字字段段 地地址址字字段段 765 43210 000 address 基本基本1地址指令地址指令减法指令的格式:减法指令的格式:减法指令的功能:减法指令的功能:AC:=AC - Memory(address); 操操作作码码字字段段 地地址址字字段段 765 43210 001 address 基本基本1地址指令地址指令存储指令的格式:存储指令的格式:存储指令的功能:存储指令的功能:Memory(address):=AC; 操操作作码

11、码字字段段 地地址址字字段段 765 43210 010 address 基本基本1地址指令地址指令读出指令的格式:读出指令的格式:读出指令的功能:读出指令的功能: AC := Memory(address);); 操操作作码码字字段段 地地址址字字段段 765 43210 011 address 基本基本1地址指令地址指令 转移指令的格式:转移指令的格式:转移指令的功能:转移指令的功能:IF (AC(7)=1)THEN PC:=address;ELSE PC:=PC+1;END IF; 操操作作码码字字段段 地地址址字字段段 765 43210 100 address 基本基本1地址指令地址

12、指令转子指令的格式:转子指令的格式:转子指令的功能:转子指令的功能: SP := SP 1;Memory(SP) := PC;PC := address; 操操作作码码字字段段 地地址址字字段段 765 43210 101 address 基本基本1地址指令地址指令子程序返回指令的格式:子程序返回指令的格式:子程序返回指令的功能:子程序返回指令的功能:PC := Memory(SP););SP := SP + 1; 操操作作码码字字段段 地地址址字字段段 765432 10 111001 扩展扩展0地址指令地址指令清累加器清累加器AC指令的格式:指令的格式:清累加器清累加器AC指令的功能:指令

13、的功能:AC:= 0; 操操作作码码字字段段 地地址址字字段段 765432 10 111000 扩展扩展0地址指令地址指令右移指令的格式:右移指令的格式:右移指令的功能:右移指令的功能:again:while counter/=00 loopAC (0:6):=AC(1:7);AC(7):=0;counter:=counter-1;end loop again; 操操作作码码字字段段 地地址址字字段段 765432 10 111101 counter 扩展扩展1地址指令地址指令左移指令的格式左移指令的格式:左移指令的功能:左移指令的功能:again:while counter/=00 loo

14、pAC(1:7):=AC(0:6);AC(0):=0;counter:=counter-1;end loop again; 操操作作码码字字段段 地地址址字字段段 765432 10 111110 counter 扩展扩展1地址指令地址指令知识点知识点了解控制器的基本组织了解控制器的基本组织 指令周期指令周期 控制器的基本组织控制器的基本组织理解基本控制器的设计方法理解基本控制器的设计方法 微操作微操作 时标系统时标系统 组合逻辑控制器设计组合逻辑控制器设计重点重点掌握基本控制器的组合逻辑设计方法掌握基本控制器的组合逻辑设计方法控制器的基本组织控制器的基本组织基本控制器的设计基本控制器的设计五

15、条指令的实现五条指令的实现指令流控制的实现指令流控制的实现时间不确定指令的实现时间不确定指令的实现分阶段设计分阶段设计存储程序计算机的程序执行过程存储程序计算机的程序执行过程取指令、分析指令和控制指令执行的过程取指令、分析指令和控制指令执行的过程控制器从存储器中取指令、访问数据和加控制器从存储器中取指令、访问数据和加工数据的操作序列工数据的操作序列操作序列由指令序列(指令流)完成操作序列由指令序列(指令流)完成指令流由控制流控制完成指令流由控制流控制完成控制流包含控制指令执行的控制信号序列控制流包含控制指令执行的控制信号序列 指令周期指令周期定义:定义: 一条指令的执行时间,即从取一条指令的执

16、行时间,即从取指令开始到指令执行完成所需要的时间。指令开始到指令执行完成所需要的时间。完成一条指令完成一条指令执行执行取指、分析取指、分析取指阶段取指阶段执行阶段执行阶段取指阶段取指阶段取指周期取指周期执行阶段执行阶段执行周期执行周期(取指、分析)(取指、分析) (执行指令)(执行指令)指令周期指令周期 指令周期指令周期取指周期取指周期 控制器将程序计数器控制器将程序计数器PC内容送存储器,启内容送存储器,启动存储器读,从存储器中取出指令,然后动存储器读,从存储器中取出指令,然后对指令进行译码,并将程序计数器对指令进行译码,并将程序计数器PC加加(为程序顺序执行提供下条指令的地址)(为程序顺序

17、执行提供下条指令的地址)执行周期执行周期 控制器将操作数地址送存储器,从存储器控制器将操作数地址送存储器,从存储器中取出操作数,并按指令要求对操作数完中取出操作数,并按指令要求对操作数完成相应操作,或将结果回写成相应操作,或将结果回写(包括送存储器包括送存储器)1 PC送存储器送存储器2 、取指令、取指令3 、指令译码、指令译码4 PC+指令周期指令周期取指周期取指周期IF执行周期执行周期EX1送操作数地址送操作数地址2 、取操作数、取操作数3 、完成操作、完成操作4 结果回写结果回写指令周期指令周期指令周期指令周期时间时间 指令周期指令周期 指令周期指令周期 每条指令的指令周期不同每条指令的

18、指令周期不同取指周期取指周期指令周期指令周期取指周期取指周期 执行周期执行周期指令周期指令周期取指周期取指周期执行周期执行周期指令周期指令周期NOPADD mem MUL mem 指令周期指令周期 具有间接寻址的指令周期具有间接寻址的指令周期 带有中断周期的指令周期带有中断周期的指令周期取指周期取指周期间址周期间址周期指令周期指令周期执行周期执行周期取指周期取指周期间址周期间址周期指令周期指令周期执行周期执行周期中断周期中断周期 指令周期指令周期 四类指令的指令周期四类指令的指令周期取指周期取指周期 执行周期执行周期取指周期取指周期 执行周期执行周期取指周期取指周期 执行周期执行周期取指周期取

19、指周期 间址周期间址周期执行周期执行周期非访存非访存 指令周期指令周期直接访存直接访存 指令周期指令周期间接访存间接访存 指令周期指令周期转移转移 指令周期指令周期 指令周期指令周期 指令周期流程指令周期流程取指周期取指周期执行周期执行周期有间址吗?有间址吗?有中断吗?有中断吗?间址周期间址周期中断周期中断周期是是是是否否否否 指令周期指令周期 CPU 工作周期的标志工作周期的标志CPU有有4 种性质的种性质的访存操作访存操作取取 指令指令取取 地址地址取取 操作数操作数存存 程序断点程序断点取指周期取指周期间址周期间址周期执行周期执行周期中断周期中断周期FEDINDDINTDCLK1FE1I

20、ND1EX1INTEXDCPU 的的4个工作周期个工作周期1) 取指周期数据流取指周期数据流 指令周期指令周期n指令周期的数据流指令周期的数据流MBRCUMARPCIR存储器存储器CPU地址总线地址总线数据总线数据总线控制总线控制总线IR+ + 2) 间址周期数据流间址周期数据流MBRCUMARCPU地址总线地址总线数据总线数据总线控制总线控制总线PCIR存储器存储器MBR 指令周期指令周期n指令周期的数据流指令周期的数据流3) 执行周期数据流执行周期数据流4) 中断周期数据流中断周期数据流不同指令的执行周期的数据流是不同的不同指令的执行周期的数据流是不同的MBRCUMARCPU地址总线地址总

21、线数据总线数据总线控制总线控制总线PC存储器存储器n 指令周期的数据流指令周期的数据流 控制寄存器控制寄存器微操作微操作控制信号控制信号CPTSG微操作控制部件微操作控制部件IDIR状态状态.XR地址计算地址计算ARPCMBR到内存选址系统到内存选址系统到计算机各部件到计算机各部件指令控制部件指令控制部件IRPCID地址形成部件地址形成部件XR地址计算地址计算AR时序控制部件时序控制部件CPTSG微操作控制部件微操作控制部件存储器存储器MARMBRW 触发器触发器读写控制电路读写控制电路R 触发器触发器AC 送送 MBR IR 送送 MAR PC 送送 MARIRPC PC复位复位 PC加加

22、1MBR 送送IR置置 R清清 R置置 W清清 W运算器运算器ID微操作控制信号微操作控制信号产生电路产生电路TSGCPACALU 加法加法 减法减法 AC复位复位 ALU 送送AC MBR 送送AC其他状态其他状态.IF 触发器触发器EX 触发器触发器置置 EX清清 EX置置 IF清清 IF5.5.2.12.1、控制器的基本组织、控制器的基本组织 控制寄存器控制寄存器程序计数器程序计数器PC 指出下一条指令的地址指出下一条指令的地址 程序启动时,程序启动时,PC被预置为某个特定值被预置为某个特定值指令寄存器指令寄存器IR 指令从存储器中取出以后,要送入指令寄指令从存储器中取出以后,要送入指令

23、寄存器存器IR中,以便保存和进行指令分析中,以便保存和进行指令分析存储器地址寄存器存储器地址寄存器MAR 用于保存访问存储器的地址用于保存访问存储器的地址 控制寄存器控制寄存器存储器数据寄存器存储器数据寄存器MBR 暂存从存储器中读出的数据或需要写入存暂存从存储器中读出的数据或需要写入存储器的数据储器的数据读触发器读触发器R 需要进行存储器读时,将它置位需要进行存储器读时,将它置位写触发器写触发器W 当存储缓冲寄存器当存储缓冲寄存器MBR中有数据需要写入中有数据需要写入存储器时,将它置位存储器时,将它置位 控制寄存器控制寄存器取指令触发器取指令触发器IF IF触发器置位时表示计算机处于取指周期

24、。触发器置位时表示计算机处于取指周期。系统启动时,系统启动时,IF被初始化为被初始化为1执行指令触发器执行指令触发器EX EX触发器置位时表示计算机处于执行周期。触发器置位时表示计算机处于执行周期。系统启动时,系统启动时,EX被初始化为被初始化为0 对控制寄存器操作的控制信号对控制寄存器操作的控制信号对控制器中的所有控制寄存器,需要有对控制器中的所有控制寄存器,需要有相应的控制信号对它们进行操作相应的控制信号对它们进行操作 存储器存储器MARMBRW 触发器触发器读写控制电路读写控制电路R 触发器触发器AC 送送 MBR IR 送送 MAR PC 送送 MARIRPC PC复位复位 PC加加

25、1MBR 送送IR置置 R清清 R置置 W清清 W运算器运算器ID微操作控制信号微操作控制信号产生电路产生电路TSGCPACALU 加法加法 减法减法 AC复位复位 ALU 送送AC MBR 送送AC其他状态其他状态.IF 触发器触发器EX 触发器触发器置置 EX清清 EX置置 IF清清 IF“PC复位复位” 将程序计数器清将程序计数器清0“PC加加1” 用于用于PC值加值加1操作。使操作。使PC指向下一条指令在存储指向下一条指令在存储器中的位置器中的位置“MBR送送IR”将将MBR的内容送到的内容送到IR。是取指令暂存操作。是取指令暂存操作5.5.2.12.1、控制器的基本组织、控制器的基本

26、组织存储器存储器MARMBRW 触发器触发器读写控制电路读写控制电路R 触发器触发器AC 送送 MBR IR 送送 MAR PC 送送 MARIRPC PC复位复位 PC加加 1MBR 送送IR置置 R清清 R置置 W清清 W运算器运算器ID微操作控制信号微操作控制信号产生电路产生电路TSGCPACALU 加法加法 减法减法 AC复位复位 ALU 送送AC MBR 送送AC其他状态其他状态.IF 触发器触发器EX 触发器触发器置置 EX清清 EX置置 IF清清 IF“PC送送MAR” 将指令计数器将指令计数器PC的值送到存储器地址缓冲寄存器的值送到存储器地址缓冲寄存器MAR中去。这里送的实际上

27、是下一条指令的地址中去。这里送的实际上是下一条指令的地址“IR送送MAR”将指令寄存器将指令寄存器IR中的地址字段送到存储器地址缓中的地址字段送到存储器地址缓冲寄存器冲寄存器MAR中去。这种操作用于转移指令,送的中去。这种操作用于转移指令,送的是转移目标指令的地址是转移目标指令的地址5.5.2.12.1、控制器控制器的基本组织的基本组织存储器存储器MARMBRW 触发器触发器读写控制电路读写控制电路R 触发器触发器AC 送送 MBR IR 送送 MAR PC 送送 MARIRPC PC复位复位 PC加加 1MBR 送送IR置置 R清清 R置置 W清清 W运算器运算器ID微操作控制信号微操作控制

28、信号产生电路产生电路TSGCPACALU 加法加法 减法减法 AC复位复位 ALU 送送AC MBR 送送AC其他状态其他状态.IF 触发器触发器EX 触发器触发器置置 EX清清 EX置置 IF清清 IF“清清R”和和“置置R” 完成完成R触发器的操作,触发器的操作,用于对存储器的读操作。用于对存储器的读操作。对存储器的读操作结束时,对存储器的读操作结束时,发发“清清R”信号;需要读存信号;需要读存储器时,需要预先发出储器时,需要预先发出“置置R”信号信号“清清W”和和“置置W” 完成完成W触发器的操作。触发器的操作。与对与对R触发器操作的控制触发器操作的控制信号相似,用于对存储器信号相似,用

29、于对存储器写操作控制写操作控制5.5.2.12.1、控制器的、控制器的基本组织基本组织存储器存储器MARMBRW 触发器触发器读写控制电路读写控制电路R 触发器触发器AC 送送 MBR IR 送送 MAR PC 送送 MARIRPC PC复位复位 PC加加 1MBR 送送IR置置 R清清 R置置 W清清 W运算器运算器ID微操作控制信号微操作控制信号产生电路产生电路TSGCPACALU 加法加法 减法减法 AC复位复位 ALU 送送AC MBR 送送AC其他状态其他状态.IF 触发器触发器EX 触发器触发器置置 EX清清 EX置置 IF清清 IF“清清IF”和和“置置IF” 完成完成IF触发器

30、的操作,用于控制取指周期的时间。取触发器的操作,用于控制取指周期的时间。取指周期开始时,需要发出指周期开始时,需要发出“置置IF”信号;取指周期结束时,信号;取指周期结束时,发出发出“清清IF”信号信号“清清EX”和和“置置EX” 完成完成EX触发器的操作,用于控制执行周期。与对触发器的操作,用于控制执行周期。与对IF触触发器操作的控制信号功能相似,用于对执行周期的控制发器操作的控制信号功能相似,用于对执行周期的控制5.5.2.12.1、控制器的、控制器的基本组织基本组织 与运算器有关的控制信号与运算器有关的控制信号对运算器进行操作控制,需要增加相应对运算器进行操作控制,需要增加相应的控制信号

31、的控制信号存储器存储器MARMBRW 触发器触发器读写控制电路读写控制电路R 触发器触发器AC 送送 MBR IR 送送 MAR PC 送送 MARIRPC PC复位复位 PC加加 1MBR 送送IR置置 R清清 R置置 W清清 W运算器运算器ID微操作控制信号微操作控制信号产生电路产生电路TSGCPACALU 加法加法 减法减法 AC复位复位 ALU 送送AC MBR 送送AC其他状态其他状态.IF 触发器触发器EX 触发器触发器置置 EX清清 EX置置 IF清清 IF5.5.2.12.1、控制器的、控制器的基本组织基本组织基本控制器的设计基本控制器的设计如何如何形成形成受控部件的控制信号受

32、控部件的控制信号? ?如何如何连接连接受控部件的控制信号?受控部件的控制信号?在在何时何时使这些控制信号使这些控制信号有效有效?控制器的功能控制器的功能指令控制功能指令控制功能 计算机的工作过程是连续执行指令的过程,计算机的工作过程是连续执行指令的过程,控制器应能保证指令流的正常流动控制器应能保证指令流的正常流动时序控制功能时序控制功能 控制器必须产生指令周期、机器周期和节控制器必须产生指令周期、机器周期和节拍等时序信号,用来给机器定时拍等时序信号,用来给机器定时操作控制功能操作控制功能中断控制功能中断控制功能5.5.2.22.2、基本控制器的设计、基本控制器的设计控制器的组成控制器的组成指令

33、部件指令部件 程序计数器、指令寄存器、指令译码器、程序计数器、指令寄存器、指令译码器、地址形成部件地址形成部件时序部件时序部件 脉冲源、启停控制逻辑、节拍信号发生器脉冲源、启停控制逻辑、节拍信号发生器微操作信号发生器微操作信号发生器中断控制逻辑中断控制逻辑5.5.2.22.2、基本控制器的设计、基本控制器的设计 控制单元的外特性控制单元的外特性控制单元控制单元 CU时钟时钟标志标志CPU 内部的控制信号内部的控制信号到系统总线的控制信号到系统总线的控制信号来自系统总线的控制信号来自系统总线的控制信号系统总线系统总线5.5.2.22.2、基本控制器的设计、基本控制器的设计指令寄存器指令寄存器1.

34、 输入信号输入信号(1) 时钟时钟(2) 指令寄存器指令寄存器(4) 外来信号外来信号(3) 标志标志CU 受时钟控制受时钟控制控制信号控制信号 与操作码有关与操作码有关OP ( IR ) CUCU 受标志控制受标志控制INTR 中断请求;中断请求; HRQ 总线请求总线请求一个时钟脉冲一个时钟脉冲 发一个操作命令或一组需同时执行的操作命令发一个操作命令或一组需同时执行的操作命令如如5.5.2.22.2、基本控制器的设计、基本控制器的设计2. 输出信号输出信号(1) CPU 内的各种控制信号内的各种控制信号(2) 送至控制总线的信号送至控制总线的信号Ri Rj(PC) + 1 PCINTAHL

35、DA访存控制信号访存控制信号访访 IO/ 存储器的控制信号存储器的控制信号读命令读命令写命令写命令中断响应信号中断响应信号总线响应信号总线响应信号MREQIO/MRDWRALU 、与、或与、或5.5.2.22.2、基本控制器的设计、基本控制器的设计for (i=0; i=i+1; i2);ai=(bi +ci)2;goto NEXTNEXT:LOADb0ADDc0SHL1STOREa0LOADb1ADDc1SHL1STOREa1JUMPNEXTNEXT5.5.2.22.2、基本控制器的设计、基本控制器的设计 M B R M B RPCIRACCU时钟时钟ALU M A R控制信号控制信号标志标

36、志控制控制信号信号C0C1C2C3C4取指周期取指周期以以 ADD 为例为例PC M A RIRCU5.5.2.22.2、基本控制器的设计、基本控制器的设计PCIRACCU时钟时钟ALU M B R M A R控制信号控制信号标志标志控制控制信号信号C1C2C3C5ADD 间址周期间址周期 M A R M B RIR5.5.2.22.2、基本控制器的设计、基本控制器的设计间址周期间址周期PCIRACCU时钟时钟ALU M B R M A R控制信号控制信号标志标志控制控制信号信号C1C2C5ADD 执行周期执行周期C7C6C8 M A RALU M B R M B R控制控制信号信号5.5.2

37、.22.2、基本控制器的设计、基本控制器的设计AC执行周期执行周期微操作微操作相对指令完成的功能而言,一个部件能够相对指令完成的功能而言,一个部件能够完成的基本操作,称为微操作(完成的基本操作,称为微操作(Micro-OP或或OP)。)。实际上是控制器送出的控实际上是控制器送出的控制线,具有制线,具有1和和0两种状态两种状态控制器发出的控制信号通过硬连线的方式控制器发出的控制信号通过硬连线的方式连接到某个受控部件连接到某个受控部件微操作是计算机中最基本的操作,也是最微操作是计算机中最基本的操作,也是最小的具有独立意义的操作小的具有独立意义的操作5.5.2.22.2、基本控制器的设计、基本控制器

38、的设计组合逻辑控制器设计组合逻辑控制器设计中一个重要概念中一个重要概念微操作微操作一条指令的执行过程就是一个微操作序一条指令的执行过程就是一个微操作序列的操作过程。控制器对指令的控制过列的操作过程。控制器对指令的控制过程就转换为对指令所对应微操作的控制程就转换为对指令所对应微操作的控制过程。不同的指令对应着不同的微操作过程。不同的指令对应着不同的微操作序列序列控制器对指令流与数据流的控制就是对控制器对指令流与数据流的控制就是对这些微操作序列流的控制这些微操作序列流的控制控制方式控制方式两种控制方式:同步控制和异步控制两种控制方式:同步控制和异步控制产生不同微操作命令序列所用的时序控制产生不同微

39、操作命令序列所用的时序控制1)同步控制方式)同步控制方式 机器有统一的时钟信号,微操作与时钟信号机器有统一的时钟信号,微操作与时钟信号同步。同步。 控制器产生统一的、顺序固定的、周控制器产生统一的、顺序固定的、周而复始的节拍电位和工作脉冲而复始的节拍电位和工作脉冲 微操作必须在一个时钟周期内完成。系统时微操作必须在一个时钟周期内完成。系统时钟周期以微操作序列最长的指令为标准,确钟周期以微操作序列最长的指令为标准,确定控制微操作运行的节拍数定控制微操作运行的节拍数 简单指令(微操作序列短的指令)可空一部简单指令(微操作序列短的指令)可空一部分节拍不用分节拍不用5.5.2.22.2、基本控制器的设

40、计、基本控制器的设计1)同步控制方式)同步控制方式 CLOCK 微操作微操作 1 微操作微操作 2 微操作微操作 i 微操作微操作 3 5.5.2.22.2、基本控制器的设计、基本控制器的设计设计简单、便于设计简单、便于调试、系统可靠调试、系统可靠2)异步控制方式)异步控制方式5.5.2.22.2、基本控制器的设计、基本控制器的设计每条指令需每条指令需要要n节拍就节拍就产生产生n节拍节拍2)异步控制方式)异步控制方式 命令命令 1 微操作微操作 1 回答回答 1 (命令命令 2) 微操作微操作 2 回答回答 2 (命令命令 3) 微操作微操作 3 5.5.2.22.2、基本控制器的设计、基本控

41、制器的设计设计复杂、系统调试难度设计复杂、系统调试难度大、系统可靠性不易保证大、系统可靠性不易保证时标系统时标系统构成指令的微操作序列是有时序要求的构成指令的微操作序列是有时序要求的对于同步控制方式,统一的系统时钟,是对于同步控制方式,统一的系统时钟,是协调各个部件有序工作的基本手段协调各个部件有序工作的基本手段时钟、节拍和节拍电位构成时标系统时钟、节拍和节拍电位构成时标系统节拍节拍 在同步控制方式下,根据系统时钟信号,以系在同步控制方式下,根据系统时钟信号,以系统时钟周期为基本单位,将指令周期划分为若统时钟周期为基本单位,将指令周期划分为若干个相等的时间段,每个时间段称为一个节拍干个相等的时

42、间段,每个时间段称为一个节拍 节拍一般用具有一定宽度的电位信号表示,称节拍一般用具有一定宽度的电位信号表示,称为节拍电位为节拍电位 节拍电位通常具有周期性,称为节拍电位周期节拍电位通常具有周期性,称为节拍电位周期5.5.2.22.2、基本控制器的设计、基本控制器的设计时标系统时标系统1)节拍和节拍电位)节拍和节拍电位指令指令周期周期.TTTT0T1T2Tn-1节节拍拍电电位位5.5.2.22.2、基本控制器的设计、基本控制器的设计系统时钟周期系统时钟周期CLKT0T1T2T3时钟周期时钟周期时标系统时标系统时钟、指令周期、节拍电位时钟、指令周期、节拍电位和节拍电位周期的关系和节拍电位周期的关系

43、机器周期机器周期机器周期机器周期T0T1T2T3T0T1T2T3时标系统时标系统多级时序系统多级时序系统机器周期、节拍组成多级时序系统机器周期、节拍组成多级时序系统一个指令周期含若干个机器周期一个指令周期含若干个机器周期一个机器周期包含若干个时钟周期一个机器周期包含若干个时钟周期CLK机器周期机器周期 机器周期机器周期 机器周期机器周期 (取指令)(取指令) (取有效地址)(取有效地址) (执行指令)(执行指令) 指令周期指令周期T0T1T2T3T0T1T2T3T0T1T2T3机器周期机器周期机器周期机器周期(取指令)(取指令)(执行指令)(执行指令)指令周期指令周期T0T1T2T3T0T1T

44、2 节拍节拍 节拍节拍计数启停计数启停复位复位&CP2J2K2R2Q2Q2CP1J1K1R1Q1Q1T0T1T2T3IF触发器触发器&IFT0IFT1IFT2IFT3时钟时钟模模4计数计数2/4译译码码器器EX触发器触发器&EXT0EXT1EXT2EXT3&IFT31&EXT3T32)节拍电位产生电路节拍电位产生电路时标系统时标系统3 3)指令周期和存储周期)指令周期和存储周期指令周期指令周期 一条指令的执行时间,一条指令的执行时间,与计算机的存储周期与计算机的存储周期密切相关密切相关 通常是存储周期的整数倍通常是存储周期的整数倍零地址指令:指令周期为一个存储周期零地址指令:指令周期为一个存储

45、周期一地址指令(直接):指令周期为二个存储周期一地址指令(直接):指令周期为二个存储周期一地址指令(间接):指令周期为三个存储周期一地址指令(间接):指令周期为三个存储周期存储周期存储周期 存储系统的工作周期,即两次存储器访问的存储系统的工作周期,即两次存储器访问的最小时间间隔最小时间间隔5.5.2.22.2、基本控制器的设计、基本控制器的设计 时标系统时标系统3 3)指令周期和存储周期)指令周期和存储周期 指令周期指令周期 取指周期取指周期(IF) 执行周期执行周期(EX) T0 T1 T2 T3 T0 T1 T2 T3 第一存储周期第一存储周期 第二存储周期第二存储周期 T0 PC 送存储

46、器送存储器 T0 送操作数地址送操作数地址 T1 取指令取指令 T1 取操作数取操作数 T2 指令译码并指令译码并 PC+1 T2 运算运算 T3 T3 保存结果保存结果 时标系统时标系统4)系统时钟周期的确定原则)系统时钟周期的确定原则除访存微操作外,一般微操作在一个节拍除访存微操作外,一般微操作在一个节拍完成完成系统时钟频率考虑的因素系统时钟频率考虑的因素 电路的门级延迟电路的门级延迟td 电路允许的最大逻辑级数电路允许的最大逻辑级数n 信号时间裕量信号时间裕量 ,包括电路的线传输延时,包括电路的线传输延时(7 8ns/m)和电路的离散误差和电路的离散误差(一般为估计值,一般为估计值,有时

47、需精确分析有时需精确分析)5.5.2.22.2、基本控制器的设计、基本控制器的设计时标系统时标系统4)系统时钟周期的确定原则)系统时钟周期的确定原则dcptTnnTtcpd5.5.2.22.2、基本控制器的设计、基本控制器的设计时标系统时标系统5.5.2.22.2、基本控制器的设计、基本控制器的设计省略了输入输出部件;指令和数据都存放在存省略了输入输出部件;指令和数据都存放在存储器中,计算机的处理结果将保存在存储器中储器中,计算机的处理结果将保存在存储器中 一个累加器型的实例计算机一个累加器型的实例计算机ALU设计过程设计过程确定指令系统确定指令系统确定指令周期的组成确定指令周期的组成安排微操

48、作的节拍,安排微操作的节拍,并建立指令系统的微操并建立指令系统的微操作清单作清单建立所有指令微操作序列表和微操作时序表建立所有指令微操作序列表和微操作时序表写出微操作命令的最简表达式写出微操作命令的最简表达式画出逻辑图画出逻辑图选择适合的硬件实现选择适合的硬件实现1) 实例机的指令系统实例机的指令系统指指 令令 符符功功 能能操作码操作码指令类型指令类型汇汇 编编 记记 忆忆 码码ADD加法加法0001 1地址指令地址指令ADD addressADD addressSUBTRACT减法减法0011 1地址指令地址指令SUBTRACT addressSUBTRACT addressSTORE存储

49、存储0101 1地址指令地址指令STORE addressSTORE addressLOAD读出读出0111 1地址指令地址指令LOAD addressLOAD addressBRANCH条件转移条件转移1001 1地址指令地址指令BRANCH addressBRANCH addressCALL转子转子1011 1地址指令地址指令CALL addressCALL addressCLEAR清累加器清累加器1110000地址指令地址指令CLEARCLEARRETURN子程序返回子程序返回1110010地址指令地址指令RETURNRETURNSHIFTR右移右移1111011 1地址指令地址指令SH

50、IFTR counterSHIFTR counterSHIFTL左移左移1111101 1地址指令地址指令SHIFTL counterSHIFTL counter1 1五条指令的实现五条指令的实现指令流控制的实现指令流控制的实现时间不确定指令的实现时间不确定指令的实现2) 指令周期的组成指令周期的组成CLKT0T1T2T3时钟周期时钟周期机器周期机器周期机器周期机器周期T0T1T2T3T0T1T2T3 采用采用 同步控制方式同步控制方式 安排微操作时序的原则安排微操作时序的原则原则一原则一 微操作的微操作的 先后顺序不得先后顺序不得 随意随意 更改更改原则二原则二 被控对象不同被控对象不同 的

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公、行业 > 各类PPT课件(模板)
版权提示 | 免责声明

1,本文(控制器-ppt课件.ppt)为本站会员(三亚风情)主动上传,163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。
2,用户下载本文档,所消耗的文币(积分)将全额增加到上传者的账号。
3, 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(发送邮件至3464097650@qq.com或直接QQ联系客服),我们立即给予删除!


侵权处理QQ:3464097650--上传资料QQ:3464097650

【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。


163文库-Www.163Wenku.Com |网站地图|