第八章-数字系统设计.ppt

上传人(卖家):三亚风情 文档编号:3408400 上传时间:2022-08-28 格式:PPT 页数:54 大小:1.56MB
下载 相关 举报
第八章-数字系统设计.ppt_第1页
第1页 / 共54页
第八章-数字系统设计.ppt_第2页
第2页 / 共54页
第八章-数字系统设计.ppt_第3页
第3页 / 共54页
第八章-数字系统设计.ppt_第4页
第4页 / 共54页
第八章-数字系统设计.ppt_第5页
第5页 / 共54页
点击查看更多>>
资源描述

1、 课件下载地址:http:/ 数字系统设计数字系统设计 Digital System Design 组合逻辑电路和时序逻辑电路只能完成某些特定的逻辑功能,属功能部件级。电路分析和设计是建立在真值表、卡诺图、逻辑方程式、状态表和状态图的工具基础上,主要依赖于设计者的的熟练技巧和经验,称“凑凑试法试法”。若由功能部件级组成一个功能复杂、规模较大的数字系统时,虽然在理论上仍可以把它看成是一个大型时序逻辑电路,仍可以采用凑试法,但实际实现上很难、甚至无法达到完整地描述其逻辑功能。因为这种设计方法:原始、受限制最多、效率与效果均欠佳、局限性大原始、受限制最多、效率与效果均欠佳、局限性大。要用方框图方框图

2、、定时图定时图、逻辑流程图逻辑流程图、ASM图等系统描述工具。对数字系统进行分析和设计时,通常把系统从逻辑上划分成控制单元CU和信息处理单元两大部分。其中:信息处理单元对信息进行不同的处理和传递,控制单元保证信息处理单元按规定的微操作序列处理数据。控制单元不断生成和发送控制信号序列,控制信息处理单元不断地执行特定的操作;接收来自信息处理单元的状态信息,用以选择下一个需执行的操作。接收外来的控制信息,用以改变正在执行的操作序列。控制单元是区别数字系统与功能部件的标志。8.1 数字系统的基本模型数字系统的基本模型输入接口输入信息输入信息外部输入的外部输入的控制信号控制信号输入接口外部输出的外部输出

3、的控制信号控制信号输出接口输出信息输出信息输出接口控制单元控制信号状态信号控制流数据流数字逻辑数字逻辑系统系统信息处理单元时钟时钟8.1.1 信息处理单元的构成信息处理单元的构成状态信息S控制网络控制网络1m传送脉冲控制信号CQ11内部寄存器组通用寄存器专用寄存器存储器数据通路:总线结构专用线x1xk输入信息z1zk输出信息组合逻辑网络组合逻辑网络有P位,表示可执行的P种基本操作,且可并行、或互斥有 R位,表示执行时的R 种状态Qmm8.1.2 控制单元控制单元CU的构成的构成将数字系统执行的复杂任务转化成一个操作和测试序列,称为“算法算法”。用控制单元产生与操作序列相对应的控制信号序列,每一

4、个控制信号控制信息处理单元执行与算法相关的一个操作。所以,控制单元的基本功能具体上是对指令流和数据流实施时间上和空间上的正确的控制。组合逻辑网络组合逻辑网络Q 状态状态寄存器寄存器算法的现态算法的次态外部输入控制信息外部输出控制信息SCyY8.1.2 控制单元控制单元CU的构成的构成控制单元的核心是时序电路,本质上是一个状态寄存器。状态寄存器主要有两个功能寄存控制单元的现态,生成次态。采用触发器作为状态寄存器的元件。存在着两种不同的控制单元实现方法:硬件逻辑方法用逻辑电路生成每一个微操作的控制信号;特点:速度高、动一发而动全身。微程序方法计算机的每一条指令的功能通过执行一个微指令序列(微程序)

5、来实现的。设计好的微程序被固化在只读存储器中,这个存储器称为控制存储器。特点:速度低、但设计、修改及扩充容易。显然,采用不同的实现方法,将影响控制单元的组成和结构。在现代计算机控制器中,常常两者混用。数字系统逻辑设计的基本步骤数字系统逻辑设计的基本步骤第一步:确定系统的逻辑功能。第一步:确定系统的逻辑功能。设计者应对系统仔细分析、消化和理解,逐步明确其逻辑功能,输入、输出信号等内容。第二步:确定系统方案。第二步:确定系统方案。这是设计工作中最困难、最有创造性的工作,设计者根据设计要求分析、推演出信息处理的基本原理和可供选择的结构形式,因为可以采用不同的原理和方法实现某一逻辑功能。为此设计者要进

6、行认真的比较和权衡,从中选取较为满意的方案。第三步:对系统进行逻辑划分。第三步:对系统进行逻辑划分。将系统按信息处理单元信息处理单元和控制单元控制单元划分为两大部分,列出信息处理单元的说明,并用流程图等方法描述信息处理的算法(即控制单元的逻辑要求)。每个部分应具备基本独立的逻辑功能。逻辑划分和确定系统方案的过程要同时进行、相辅相成。数字系统设计的基本步骤数字系统设计的基本步骤第四步:设计信息处理单元和控制单元第四步:设计信息处理单元和控制单元。定义定义要求信息处理单元必须执行的处理和操作,列出操作表操作表;提出提出实现的算法算法,确定控制单元必须保存的、或产生的状态状态及状态之间的转换关系转换

7、关系;由系统的控制状态、信息处理单元产生的状态信息和要求生成的控制信号建立建立控制单元的状态转换表状态转换表;根据信息处理单元的操作表和状态信息、控制单元的状态转换表,进行逻辑设计逻辑设计。选择逻辑功能部件,如SSI、MSI、LSI等,进行合理连接,构成严格能协调工作的系统。8.2 数字系统设计的描述工具数字系统设计的描述工具8.2.1 方框图方框图设计系统首先应当建立模型,方框图是描述模型最常用、最重要的工具。方框图不涉及过多的技术细节,直观易懂,具有:结构化设计结构化设计系统结构清晰和易理解性,易构思设计等在方框图中,每一个方框定义方框定义了一个信息处理、存储或传递的子系统(或模块);方框

8、内用文字、表达式、例行符号、图形表示该模块的名称或主要功能;方框之间用指向线指向线相连,表示模块之间的数据流或信息流的信息通道及方向,连线旁的文字或符号是通道的名称、功能或信息类型。方框图的设计过程:自顶而下自顶而下、逐步细化。例例 一个智能仪表的方框图。系统系统输 入输 出(a)数据数据采集采集数据数据处理处理数据数据显示显示数据数据打印打印控制器控制器输入输入输出输出(c)CC(b)数据数据采集采集数据数据处理处理数据数据显示显示数据数据打印打印控制器控制器输入输入输出输出CC输入输入/输出接口输出接口M寄存器寄存器A寄存器寄存器ALUCCCC(d)分解分解再分解再分解细化细化上例设计的这

9、种结构框图,其任何一处的功能修改和扩充均涉及全局,而且总控制器的设计将十分复杂。若采用总线结构,则该智能仪表的方框图如下:Data BUSAdd BUSContl BUS输入输入接口接口微处微处理器理器存储存储器器输出输出接口接口数据数据采集采集模块模块显示器显示器打印机打印机数据数据同一种功能的数字系统,可以设计出不同的结构,在总体结构设计中,任何设计优化的考虑都要比物理实现阶段中的技术优化产生大得多的效益,这是系统设计过程中最具创造性的工作。8.2 数字系统设计的描述工具数字系统设计的描述工具8.2.2 定时图定时图(时序图、时间关系图时序图、时间关系图)在数字系统中,信息的传送、处理或存

10、储都是在特定时间意义上的操作,是按照严格的时序进行协调和同步的。系统各模块之间,模块内部各功能部件之间、各功能部件内部的各逻辑门电路或触发器之间,输入信号、输出信号和控制输入信号、输出信号和控制信号的对应关系及特征信号的对应关系及特征,通常用时序图来描述。时序图的描述也是一个逐步细化的过程。从描述系统输入、输出之间的定时关系的简单时序图开始,随著系统设计的不断深入,时序图将不断地反映新出现的系统内部信号的时序关系,直到最终一个完整的时序图。例例 交通灯控制系统交通灯控制系统NSEWETWT灯灯灯灯灯灯灯灯传感器传感器传感器传感器(a)示意图示意图控制器控制器NSEWETWT(b)框图框图t1t

11、2t3t4(c)定时图定时图N/S RedN/S YellowN/S GreenE/W RedE/W YellowE/W GreenET/WT关注各信号:电平/脉冲、同步/异步固定的时间片8.2.3 逻辑流程图逻辑流程图也称流程图,它用约定的几何图形也称流程图,它用约定的几何图形(矩形、菱形、椭圆矩形、菱形、椭圆形等形等)、指向线和简练的文字说明,描述系统的基本工作过、指向线和简练的文字说明,描述系统的基本工作过程。程。逻辑流程图的描述对象是逻辑流程图的描述对象是控制单元控制单元,并且以,并且以系统时钟系统时钟来驱动来驱动整个流程。这一点与由事件驱动的软件流程图不同。整个流程。这一点与由事件驱

12、动的软件流程图不同。功能说明功能说明或状态名或状态名入口入口出口出口状态输出表(a)状态框状态框条件条件条件假出口0入口入口条件真出口1或条件条件入口入口条件假出口0条件真出口1(b)条件判别框条件判别框功能说明功能说明入口入口出口出口条件输出表(c)条件输出框条件输出框1、基本符号、基本符号用三种符号:矩形状态框、菱形判别框、椭圆形条件框。用三种符号:矩形状态框、菱形判别框、椭圆形条件框。1、基本符号、基本符号状态框状态框表示系统必须具备的状态,表示系统必须具备的状态,判别框判别框及及条件输出框条件输出框不表示状态,只表示某状态框在不同的输入条件下的分支出不表示状态,只表示某状态框在不同的输

13、入条件下的分支出口及条件输出,即口及条件输出,即用一个状态框及若干个判别框或条件输出用一个状态框及若干个判别框或条件输出框,组成一个框,组成一个状态单元状态单元。如果在某状态下的输出与输入无关,即如果在某状态下的输出与输入无关,即 Moore 型型输出,输出,则该状态输出可标注在状态框旁的状态输出表中,且这个状则该状态输出可标注在状态框旁的状态输出表中,且这个状态单元必定不包括条件输出框。态单元必定不包括条件输出框。功能说明功能说明或状态名或状态名入口入口出口出口状态输出表状态输出表z1,z2,z3 z1 表示进入状态表示进入状态state,输出,输出z1 有效有效。z2 表示进入状态表示进入

14、状态state,输出,输出z2 无效无效。z3 表示进入状态表示进入状态state,输出,输出z3 有效有效,并在退出状态并在退出状态state,输出,输出z3 无效无效。1、基本符号、基本符号 如果在某状态下的输出与输入有关,即如果在某状态下的输出与输入有关,即 Mealy 型型输出,输出,则该状态输出要标注在菱形判别框下的椭圆形条件框旁的状则该状态输出要标注在菱形判别框下的椭圆形条件框旁的状态输出表中。态输出表中。z1 表示进入状态,表示进入状态,z1 有效有效。z2 表示进入状态,表示进入状态,z2 无效无效。z3 表示进入状态,表示进入状态,z3 有效有效,并在退出状态,并在退出状态,

15、z3 无效无效。功能说明功能说明或状态名或状态名入口入口状态输出表状态输出表z1,z2,z3 条件条件条件假出口0条件真出口1功能说明功能说明出口出口3、逻辑流程图的应用逻辑流程图的应用例例 设计一个十字路口交通灯控制系统。设计一个十字路口交通灯控制系统。这个例子来自美国加洲美丽之城太阳谷这个例子来自美国加洲美丽之城太阳谷Sunnyvale市,市,其十字路口的交通灯控制器经过了仔细设计,以使得汽车在其十字路口的交通灯控制器经过了仔细设计,以使得汽车在十字路口的等待时间最小化。这个经市政部门认可的交通灯十字路口的等待时间最小化。这个经市政部门认可的交通灯管理系统,后应用于芝加哥。管理系统,后应用

16、于芝加哥。该系统使用了该系统使用了一个一个 1Hz 的时钟的时钟和和三个计数器三个计数器,以及,以及 4组组传感装置传感装置,下面是示意图。,下面是示意图。逻辑流程图的描述过程是一个逐步细化(深化)的过逻辑流程图的描述过程是一个逐步细化(深化)的过程。它既便于设计者发现和改进信息处理过程中的错误,程。它既便于设计者发现和改进信息处理过程中的错误,又是后续电路设计的依据。又是后续电路设计的依据。加洲太阳谷的一个十字路口的交通灯传感器和信号加洲太阳谷的一个十字路口的交通灯传感器和信号NSCAREWCAREWCARNSCARNNEWREDEWYELLOWEWGREENNSREDNSYELLOWNSG

17、REENEWREDEWYELLOWEWGREENNSREDNSYELLOWNSGREENE若若 EW及及NS均有车,均有车,则则 EW每次通行每次通行 60秒秒(绿灯绿灯),NS每次通行每次通行40秒秒(绿灯绿灯),EW、NS轮流放行;轮流放行;若若 仅有一个通道有车,仅有一个通道有车,则禁止无车通道则禁止无车通道(红灯红灯);若若 两通道均无车,两通道均无车,则则NS禁止,禁止,EW 放行;放行;若若 通道转换时,通道转换时,两通道均需停车两通道均需停车3秒秒(黄灯黄灯)。例例 设计一个十字路口交通灯控制系统。设计一个十字路口交通灯控制系统。设:东西道设:东西道(EW)为主道,南北道为主道,

18、南北道(NS)为副道。为副道。框图框图EWCARNSCAR交通灯交通灯控制系统控制系统灯控信号变变量量定定义义监测器输出监测器输出输出灯光信号输出灯光信号NSCAREWCAR(由由ET、STWT、NT生成生成)NSRedNSGeenNSYellowEWRedEWGeenEWYellow若若 EW及及NS均有车,均有车,则则 EW每次通行每次通行 60秒秒(绿灯绿灯),NS每次通行每次通行40秒秒(绿灯绿灯),EW、NS轮流放行;轮流放行;若若 仅有一个通道有车,仅有一个通道有车,则禁止无车通道则禁止无车通道(红灯红灯);若若 两通道均无车,两通道均无车,则则NS禁止,禁止,EW 放行;放行;若

19、若 通道转换时,通道转换时,两通道均需停车两通道均需停车3秒秒(黄灯黄灯)。例例 设计一个十字路口交通灯控制系统。设计一个十字路口交通灯控制系统。设:东西道设:东西道(EW)为主道,南北道为主道,南北道(NS)为副道。为副道。框图框图EWCARNSCAR交通灯交通灯控制系统控制系统灯控信号变变量量定定义义监测器输出监测器输出定时器输出定时器输出输出灯光信号输出灯光信号NSCAREWCAR(由由ET、STWT、NT生成生成)TM60TM40TM3(计数器的计数器的进位输出进位输出)NSRedNSGeenNSYellowEWRedEWGeenEWYellow若若 EW及及NS均有车,均有车,则则

20、EW每次通行每次通行 60秒秒(绿灯绿灯),NS每次通行每次通行40秒秒(绿灯绿灯),EW、NS轮流放行;轮流放行;若若 仅有一个通道有车,仅有一个通道有车,则禁止无车通道则禁止无车通道(红灯红灯);若若 两通道均无车,两通道均无车,则则NS禁止,禁止,EW 放行;放行;若若 通道转换时,通道转换时,两通道均需停车两通道均需停车3秒秒(黄灯黄灯)。例例 设计一个十字路口交通灯控制系统。设计一个十字路口交通灯控制系统。设:东西道设:东西道(EW)为主道,南北道为主道,南北道(NS)为副道。为副道。框图框图EWCARNSCAR交通灯交通灯控制系统控制系统灯控信号变变量量定定义义监测器输出监测器输出

21、定时器输出定时器输出定时器使能输入定时器使能输入输出灯光信号输出灯光信号NSCAREWCAR(由由ET、STWT、NT生成生成)TM60TM40TM3(计数器的计数器的进位输出进位输出)ENTM60ENTM40ENTM3NSRedNSGeenNSYellowEWRedEWGeenEWYellow系统配有三个系统配有三个3秒秒、40秒秒和和60秒秒的定时器,如下:的定时器,如下:定时器定时器在系统中起到时间在系统中起到时间节拍节拍指挥的作用,各部件均按照统一的时间指挥的作用,各部件均按照统一的时间节拍协调地工作。节拍协调地工作。模模3计数器计数器模模40计数器计数器模模60计数器计数器分频器分频

22、器CLKCP(周期(周期1秒)秒)进位输出信号进位输出信号启动启动(使能使能)计数信号计数信号十字路口交通灯控制器逻辑框图十字路口交通灯控制器逻辑框图启动南北南北停车东西东西停车3秒秒东西东西停车南北南北停车3秒秒东西东西道禁止南北南北道通行 南北南北无车 或南北南北、东西东西均有车且达到40秒秒01东西东西道通行南北南北道禁止南北南北有车且东西东西无车或南北南北、东西东西均有车且达到60秒秒01a.粗框图粗框图若 EW及NS均有车,则EW每次通行 60秒秒(绿灯绿灯),NS每次通行40秒秒(绿灯绿灯),EW、NS轮流放行;若 仅有一个通道有车,则禁止无车通道(红灯红灯);若 两通道均无车,则

23、NS禁止,EW 放行;若 通道转换时,两通道均需停车3秒秒(黄灯黄灯)。十字路口交通灯控制器逻辑框图十字路口交通灯控制器逻辑框图启动南北南北停车东西东西停车3秒秒东西东西停车南北南北停车3秒秒东西东西道禁止南北南北道通行 南北南北无车 或南北南北、东西东西均有车且达到40秒秒01东西东西道通行南北南北道禁止南北南北有车且东西东西无车或南北南北、东西东西均有车且达到60秒秒01a.粗框图粗框图STARTEWPASS,NSSTOPNSCAR=1EWCAR=160秒秒?000111EWSTOP,NSPASSNSCAR=1EWCAR=140秒秒?0001113秒秒?01EWHOLD,NSHOLD3秒秒

24、?0EWHOLD,NSHOLD1b.细框图细框图十字路口交通灯控制器逻辑流程图十字路口交通灯控制器逻辑流程图STARTEWPASS,NSSTOPNSCAR=1EWCAR=1TM60=1000111EWSTOP,NSPASSNSCAR=1EWCAR=1TM40=1000111EWRedNSGreenENTM40EWYellowNSYellowENTM3TM3=101EWHOLD,NSHOLDEWYellowNSYellowENTM3TM3=10EWHOLD,NSHOLD1c.标注输入输出及信号名的逻辑细框图标注输入输出及信号名的逻辑细框图(Moore型)型)EWRed EWGeen NSRedE

25、NTM60EWGeen NSRedENTM603、从状态图得到逻辑流程图从状态图得到逻辑流程图逻辑流程图上逻辑流程图上的一个状态框及若干个判别框或条件输出框所组成一个状态单元对应了对应了状态图上状态图上的一个状态和它的输入输出。如果某状态的输出与输入有关(Mealy型型),则逻辑流程图中对应的状态单元必定包括有条件输出框有条件输出框;如果某状态的输出与输入无关(Moore型型),则逻辑流程图中对应的状态单元必定没有条件输出框没有条件输出框。0C/1A/0B/0D/11111000Moore 型状态图型状态图0/0CABD1/01/01/11/10/00/00/1Mealy 型状态图型状态图8.

26、2.4 ASM图图逻辑流程图是数字系统中使用得最广泛的一种非形式化的描述工具,但它的规范性不够。经过不断改进,将流程图改造成描述数字系统硬件的形式化工具算法状态机图算法状态机图ASM (Algorithmic State Machine Charp)。ASM图是形式化描述数字系统的流程图,是按照一组简单和明确的规则绘制的。在时序电路的ASM图中,每一个状态由一个每一个状态由一个ASM块来表示块来表示。一个ASM块是一种小型的符号化结构图形,由它指出了当前状态、它的输出和次态的条件。一个时序数字系统的所有状态的ASM块,按照一定的顺序联结成一个网状结构,由此产生的ASM图可用来精确地描述系统所具

27、有的功能。1、基本符号、基本符号一个ASM块至多包含三种不同类型的符号:矩形状态框矩形状态框、菱形判别框菱形判别框和条件输出框条件输出框。注意图的标注(左边)与流程图的(右边)不同。入口入口出口出口状态输出表状态输出表(a)ASM的状态框状态名状态编码其中:状态编码为该状态下的触发器值。功能说明功能说明或状态名或状态名入口入口出口出口状态输出表(a)流程图的状态框1、基本符号、基本符号一个ASM块至多包含三种不同类型的符号:矩形状态框矩形状态框、菱形判别框菱形判别框和条件输出框条件输出框。注意图的标注与流程图的不同。条件条件入口入口条件假假出口0条件真真出口1(b)ASM的判别框其中:框内的输

28、入条件为布尔表达式布尔表达式。条件条件条件假出口0入口入口条件真出口1或条件条件入口入口条件假出口0条件真出口1(b)流程图的条件判别框1、基本符号、基本符号一个ASM块至多包含三种不同类型的符号:矩形状态框矩形状态框、菱形判别框菱形判别框和条件输出框条件输出框。注意图的标注与流程图的不同。条件输出表条件输出表入口入口出口出口(c)条件输出框条件输出框的输入总是来自判别框,由这个判别框给出了输出所需要的条件,即在某一状态下,某个输出变量是输入变量的函数,就在条件输出框中填入条件满足时产生的输出。功能说明功能说明入口入口出口出口条件输出表(c)条件输出框2、由逻辑流程图转换成、由逻辑流程图转换成

29、ASM图图ASM图可以直接送入计算机辅助逻辑设计系统,由该系统自动完成控制单元的设计。逻辑流程图可以很容易地转换成ASM图。在ASM图中,所有的当前状态下的Moore型型有效输出都应列在状态框内;所有的当前状态下的满足输入条件的Mealy型型有效输出都应列在条件输出框内。十字路口交通灯控制器十字路口交通灯控制器ASM图图NSCAREWCAR000111EWGeen NSRed,ENTM6000ATM60TM301NSYellowEWYellow,ENTM3B01STARTEWPASS,NSSTOPNSCAR=1EWCAR=1TM60=1000111TM3=101EWHOLD,NSHOLDEWY

30、ellowNSYellowENTM3EWRed EWGeen NSRedENTM60EWRed EWGeen NSRedENTM60十字路口交通灯控制器十字路口交通灯控制器ASM图图NSCAREWCARTM40000111NSGreenEWRed,ENTM4011CTM301EWYellowNSYellow,ENTM310DEWSTOP,NSPASSNSCAR=1EWCAR=1TM40=1000111EWRedNSGreenENTM40TM3=10EWHOLD,NSHOLD1EWYellowNSYellowENTM3十字路口交通灯控制器十字路口交通灯控制器ASM图图NSCAREWCARTM40

31、000111NSGreenEWRed,ENTM4011CNSCAREWCAR000111EWGeen NSRed,ENTM6000ATM60TM301NSYellowEWYellow,ENTM3B01TM301EWYellowNSYellow,ENTM310D3、应用、应用ASM图进行数字系统中控制器的设计图进行数字系统中控制器的设计主要步骤:(1)按设计要求写出问题说明。(2)定义输入、输出信号并用助记符表示。(3)将问题说明转换成详细逻辑流程图逻辑流程图。(4)将详细逻辑流程图转换成ASM图图。(5)从ASM图得到状态转换表状态转换表。(6)由状态转换表得到次态方程式次态方程式,从ASM图

32、列出输出函数表达式输出函数表达式。(7)按照次态方程式及输出函数表达式,画出控制器逻辑电路图逻辑电路图。4、状态转换表达式表、状态转换表达式表 按照ASM图中的每一个ASM块中判别框和条件输出框内标注的有效输入,列出状态转换表达式表,如下:现态现态输输入入 条条件件状态转换表达式状态转换表达式次态次态S(A)NSCAREWCARTM60Sn+1Q1Q0Q1Q0Q1Q0Q1Q0NSCAREWCAR000111EWGeen NSRed,ENTM6000ATM604、状态转换表达式表、状态转换表达式表 按照按照ASM图中的每一个图中的每一个ASM块中块中判别框和条件输出框内标注的判别框和条件输出框内

33、标注的有效输有效输入入,列出,列出状态转换表达式表状态转换表达式表,如下:,如下:现态现态输输入入 条条件件状态转换表达式状态转换表达式次态次态S(A)NSCAREWCARTM60Sn+1Q1Q00AQ1Q0Q1Q0Q1Q0NSCAREWCAR000111EWGeen NSRed,ENTM6000ATM604、状态转换表达式表、状态转换表达式表 按照按照ASM图中的每一个图中的每一个ASM块中块中判别框和条件输出框内标注的判别框和条件输出框内标注的有效输有效输入入,列出,列出状态转换表达式表状态转换表达式表,如下:,如下:现态现态输输入入 条条件件状态转换表达式状态转换表达式次态次态S(A)N

34、SCAREWCARTM60Sn+1Q1Q00AQ1Q0Q1Q0Q1Q0NSCAREWCAR000111EWGeen NSRed,ENTM6000ATM604、状态转换表达式表、状态转换表达式表 按照按照ASM图中的每一个图中的每一个ASM块中块中判别框和条件输出框内标注的判别框和条件输出框内标注的有效输有效输入入,列出,列出状态转换表达式表状态转换表达式表,如下:,如下:现态现态输输入入 条条件件状态转换表达式状态转换表达式次态次态S(A)NSCAREWCARTM60Sn+1Q1Q00NSCARAQ1Q0Q1Q0Q1Q0NSCAREWCAR000111EWGeen NSRed,ENTM6000

35、ATM604、状态转换表达式表、状态转换表达式表 按照按照ASM图中的每一个图中的每一个ASM块中块中判别框和条件输出框内标注的判别框和条件输出框内标注的有效输有效输入入,列出,列出状态转换表达式表状态转换表达式表,如下:,如下:现态现态输输入入 条条件件状态转换表达式状态转换表达式次态次态S(A)NSCAREWCARTM60Sn+1Q1Q00NSCARAQ1Q010NSCAR EWCARBQ1Q0110NSCAR EWCAR TM60AQ1Q0111NSCAR EWCAR TM60BNSCAREWCAR000111EWGeen NSRed,ENTM6000ATM604、状态转换表达式表、状态

36、转换表达式表 按照ASM图中的每一个ASM块中判别框和条件输出框内标注的有效输入,列出状态转换表达式表,如下:现态现态输输入入 条条件件状态转换表达式状态转换表达式次态次态S(B)TM 3Sn+1Q1Q00TM 3BQ1Q01TM 3CTM301NSYellowEWYellow,ENTM3B01C4、状态转换表达式表、状态转换表达式表现态现态输输入入 条条件件状态转换表达式状态转换表达式次态次态SNSCAREWCARTM40TM3Sn+1Q1Q00NSCARDQ1Q010NSCAR EWCARCQ1Q0110NSCAR EWCAR TM60CQ1Q0111NSCAR EWCAR TM60DQ1

37、Q00TM 3DQ1Q01TM 3ANSCAREWCARTM40000111NSGreenEWRed,ENTM4011CTM301EWYellowNSYellow,ENTM310DA5、状态转换表状态转换表 由状态转换表达式表合并成状态转换表,如下:现态现态输输入入 条条件件状态转换表达式状态转换表达式次态次态S(A)NSCAREWCARTM60Sn+1Q1Q00NSCARAQ1Q010NSCAR EWCARBQ1Q0110NSCAR EWCAR TM60AQ1Q0111NSCAR EWCAR TM60B S Q1 Q0转换条件表达式转换条件表达式Sn+1 Q1n+1Q0n+1A 0 0NSC

38、ARA 0 0A 0 0NSCAREWCARB 0 1A 0 0NSCAREWCARTM60A 0 0A 0 0NSCAREWCARTM60B 0 1十字路口交通灯控制器状态转换表十字路口交通灯控制器状态转换表 S Q1 Q0转换条件表达式转换条件表达式Sn+1 Q1n+1Q0n+1A 0 0NSCARA 0 0A 0 0NSCAREWCARB 0 1A 0 0NSCAREWCARTM60A 0 0A 0 0NSCAREWCARTM60B 0 1B 0 1TM3B 0 1B 0 1TM3C 1 1C 1 1NSCARD 1 0C 1 1NSCAREWCARC 1 1C 1 1NSCAREWCA

39、RTM40C 1 1C 1 1NSCAREWCARTM40D 1 0D 1 0TM3D 1 0D 1 0TM3A 0 0十字路口交通灯控制器次态方程式十字路口交通灯控制器次态方程式Q1n+1 =Q1Q0TM3+Q1Q0NSCAR+Q1Q0NSCAREWCAR +Q1Q0NSCAREWCARTM40 +Q1Q0NSCAREWCARTM40 +Q1Q0TM3由于次态为由于次态为 Sn+1=S (转换条件表达式转换条件表达式),由前表可得到次,由前表可得到次态方程式并化简为:态方程式并化简为:=Q1Q0TM3+Q1Q0NSCAR+Q1Q0NSCAREWCAR +Q1Q0NSCAREWCAR +Q1Q

40、0TM3=Q1Q0TM3+Q1Q0NSCAR+Q1Q0NSCAR+Q1Q0TM3=Q1Q0TM3+Q1Q0+Q1Q0TM3=Q1Q0TM3+Q1Q0 TM3+Q1Q0 TM3+Q1Q0TM3=Q0TM3+Q1TM3此方程也称为次态此方程也称为次态Qn+1的的转移方程转移方程,包含有,包含有转换条件表达式转换条件表达式。十字路口交通灯控制器次态方程式十字路口交通灯控制器次态方程式 由于次态为 Sn+1=S(转换条件表达式转换条件表达式),由前表可得到次态方程式:Q1n+1 =Q1Q0TM3+Q1Q0NSCAR +Q1Q0NSCAREWCAR +Q1Q0NSCAREWCARTM40 +Q1Q0NS

41、CAREWCARTM40 +Q1Q0TM3 =Q0TM3+Q1TM3Q0n+1 =Q1Q0 NSCAREWCAR+Q1Q0NSCAR EWCAR TM60+Q1Q0 TM3+Q1Q0 TM3 +Q1Q0NSCAREWCAR +Q1Q0NSCAREWCARTM40选用D触发器触发器构成的控制系统,次态 Qn+1=D则则可直接写出控制函数:D1=Q1Q0TM3+Q1Q0NSCAR +Q1Q0NSCAREWCAR +Q1Q0NSCAREWCARTM40 +Q1Q0NSCAREWCARTM40 +Q1Q0TM3 =Q0TM3+Q1TM3 D0 =Q1Q0 NSCAREWCAR+Q1Q0NSCAR EW

42、CAR TM60+Q1Q0 TM3+Q1Q0 TM3 +Q1Q0NSCAREWCAR +Q1Q0NSCAREWCARTM40由由ASM图可直接写出输出函数表达式图可直接写出输出函数表达式EWGreen=Q1Q0NSRed=Q1Q0NSYellow=Q1Q0EWYellow=Q1Q0NSGreen=EWRed=ENTM60=Q1Q0ENTM40=ENTM3=Q1Q0 Moore型电路型电路NSCAREWCAR000111EWGeen NSRed,ENTM6000ATM60TM301NSYellowEWYellow,ENTM3B01C由由ASM图可直接写出输出函数表达式图可直接写出输出函数表达式E

43、WGreen=Q1Q0NSRed=Q1Q0NSYellow=Q1Q0+Q1Q0=Q1 Q0EWYellow=Q1Q0+Q1Q0=Q1 Q0NSGreen=Q1 Q0EWRed=Q1Q0ENTM60=Q1Q0ENTM40=Q1Q0ENTM3=Q1Q0+Q1Q0=Q1 Q0可选用D触发器触发器或JK触发器触发器及门电路构成控制系统。NSCAREWCARTM40000111NSGreenEWRed,ENTM4011CTM301EWYellowNSYellow,ENTM310D十字路口交通灯十字路口交通灯控制器逻辑框图控制器逻辑框图模模3计数器计数器模模40计数器计数器模模60计数器计数器分频器分频器

44、CLKCP(周期(周期1秒)秒)TM60ENTM3TM40TM3ENTM40ENTM60Q0D1D0Q1QDCLKQDCLK输出组合逻辑输出组合逻辑ENTM3ENTM40ENTM60EWREDEWGREENEWYELLOWNSREDNSGREENNSYELLOW控制组合逻辑控制组合逻辑EWCARNSCARASM图的特点图的特点 ASM图为时序电路系统提供了形式化描述方法。在ASM图中,每个状态框仅有一个出口(分支由判断框提供),保证了无二义性,且自动满足闭合性和完整性。由于每个ASM块只能描述系统的一个状态,对于计算机和类似的复杂数字系统来说,仅仅采用ASM图作为硬件的描述工具是不够的,因此,需要一种功能更强的形式化工具来描述和定义数字系统中的操作和实现这些操作的硬件结构。寄存器传送语言(RTL)就是这种形式语言之一。8.3 自顶向下的设计和自底向下的集成 随着科学技术的发展,数字系统已经达到前所未有的复杂程度(例如超大规模集成电路),基于经典开关理论的传统设计方法已经不适用新的情况,取而代之的是结构化设计方法。自顶向下将系统逐级分解成可由硬件及软件实现的模块,然后通过逻辑设计选择合适的结构和物理实现途径,将元器件及基本构件集成为能实现要求的功能模块和子系统。由模块或子系统组成系统,实现自底向上的组装集成。8.3.1 自动向下的设计

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公、行业 > 各类PPT课件(模板)
版权提示 | 免责声明

1,本文(第八章-数字系统设计.ppt)为本站会员(三亚风情)主动上传,163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。
2,用户下载本文档,所消耗的文币(积分)将全额增加到上传者的账号。
3, 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(发送邮件至3464097650@qq.com或直接QQ联系客服),我们立即给予删除!


侵权处理QQ:3464097650--上传资料QQ:3464097650

【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。


163文库-Www.163Wenku.Com |网站地图|