教学课件·数字电子技术项目化教程.ppt

上传人(卖家):三亚风情 文档编号:3523514 上传时间:2022-09-11 格式:PPT 页数:657 大小:13.66MB
下载 相关 举报
教学课件·数字电子技术项目化教程.ppt_第1页
第1页 / 共657页
教学课件·数字电子技术项目化教程.ppt_第2页
第2页 / 共657页
教学课件·数字电子技术项目化教程.ppt_第3页
第3页 / 共657页
教学课件·数字电子技术项目化教程.ppt_第4页
第4页 / 共657页
教学课件·数字电子技术项目化教程.ppt_第5页
第5页 / 共657页
点击查看更多>>
资源描述

1、项目一 逻辑测试笔的制作与调试1.1 项目描述1.2 知识链接1.3 项目实施1.4 项目总结1.1 项 目 描 述数字电路主要研究的是输出信号的状态(0或1)与输入信号的状态(0或1)之间的关系,这是一种因果关系,也就是所谓的逻辑关系,即电路的逻辑功能。在数字电路中,经常要检测电路的输入与输出是否符合所要求的逻辑关系,但是用万用表测试数字电路电平的高低显得很不方便,可以用逻辑测试笔来测试。逻辑测试笔也叫做逻辑探针,它是数字电路设计、实验、检查和维修中最简单实用的工具。1.1.1 项目学习情境:逻辑测试笔的制作与调试图1-1所示为逻辑测试笔的电路原理图,此电路由集成逻辑门构成。本项目需要完成的

2、主要任务是:熟悉电路各元器件的作用;进行电路元器件的安装;进行电路参数的测试与调整;撰写电路制作报告。图1-1 逻辑测试笔电路原理图 1.1.2 电路分析与电路元器件参数及功能一、电路分析如图1-1所示电路,当被测点为高电平时,VD1导通,VT1发射极输出高电平,经U1A反相后,输出低电平,LED1(红色发光二极管)导通而发光。此时,VD2截止,U2A输出低电平,U3A输出高电平,使LED2(绿色发光二极管)截止而不发光,而U4A输出高电平,使LED3(黄色发光二极管)截止而不发光。二、电路元器件参数及功能逻辑测试笔电路元器件参数及功能如表1-1所示。1.2 知 识 链 接1.2.1 数字电路

3、的基本概念电子电路所处理的电信号可以分为两大类:一类是在时间和数值上都是连续变化的信号,称为模拟信号,例如电流信号、电压信号等,如图1-2(a)所示;另一类是在时间和数值上都是离散的信号,称为数字信号,例如计算机中传送的数据信号、IC卡信号等,如图1-2(b)所示。图1-2 模拟信号与数字信号 与模拟电路相比,数字电路具有以下显著的优点:(1)工作信号是二进制的数字信号,反映在电路上是高低电平两种状态。(2)研究的主要问题是电路的逻辑功能。(3)电路结构简单,便于集成、系列化生产,成本低廉,使用方便。(4)抗干扰性强,可靠性高,精度高。(5)对电路中元器件精度要求不高,只要能区分0和1两种状态

4、即可。(6)数字信号更易于存储、加密、压缩、传输和再现。1.2.2 数制和码制一、数制1.十进制数十进制全称为十进位计数制,每一位有09十个可能的数码,计数规则为“逢十进一”。该数制的计数基数(每一位规定使用的数码符号的个数)为10,数位的权值(某个数位上数码为i时所表征的数值)为10i,i是各位的序号。任何一个十进制数都可以按权值展开,例如十进制数136.78可以写成(136.78)10=1102+3101+6100+7101+81022.二进制数二进制数每一位有0和1两个可能的数码,计数规则为“逢二进一”。该数制的计数基数为2,数位的权值为2i。任何一个二进制数都可以按权值展开,例如二进制

5、数110.11可以写成(110.11)2=122+121+020+121+1223.八进制数八进制数每一位有07八个可能的数码,计数规则为“逢八进一”。该数制的计数基数为8,数位的权值为8i。任何一个八进制数都可以按权值展开,例如八进制数16可以写成(16)8=181+6804.十六进制数十六进制数每一位有十六个可能的数码,分别用09、A(10)、B(11)、C(12)、D(13)、E(14)、F(15)表示,计数规则为“逢十六进一”。该数制的计数基数为16,数位的权值为16i。任何一个十六进制数都可以按权值展开,例如十六进制数4C.8E可以写成 (4C.8E)16=4161+12160+81

6、61+14162二、不同数制之间的相互转换1.非十进制数转换成十进制数将非十进制数转换成十进制数一般采用按权值展开相加的方法,具体步骤是:首先把非十进制数写成按权值展开的多项式,然后按十进制数的计数规则求其和,就可得到对应的十进制数。例如,将(10101.11)2转换成十进制数:再如,将(265.34)8转换成十进制数:2.十进制数转换成非十进制数将十进制数转换为非十进制数时,整数部分和小数部分要分别进行转换,再把两者的转换结果用小数点相连。(1)整数部分常用的方法是除基数取余倒排法。把十进制整数N转换成R进制数的具体步骤如下:将N除以R,记下所得的商和余数;将上一步所得的商再除以R,记下所得

7、的商和余数;重复做第步,直到商为0;将各步求得的余数按照与运算过程相反的顺序把各个余数排列起来,即为所求的R进制数。例如,将(47)10转换成二进制数:即(47)10=(101111)2(2)小数部分常用的方法是乘基数取整顺排法。把十进制的小数M转换成R进制数的具体步骤如下:将M乘以R,记下整数部分;将上一步乘积中的小数部分再乘以R,记下整数部分;重复做第步,直到小数部分为0或者满足精度要求为止;将各步求得的整数按照与运算过程相同的顺序排列起来,即为所求的R进制数。例如,将(0.85)10转换成十六进制数:即(0.85)10=(0.D99)16例如,将(25.375)10转换成二进制数:即(2

8、5.375)10=(11001.011)23.二进制数转换成十六进制数二进制数转换成十六进制数时,其整数部分和小数部分可以同时进行转换,具体方法是:以二进制数的小数点为起点,分别向左、右,每四位分为一组。对于小数部分,最低位一组不足四位时,必须在有效位右边补0,使其足位;对于整数部分,最高位一组不足四位时,可在有效位的左边补0,也可以不补。然后,把每一组二进制数转换成十六进制数,并保持原序列,即可得到所需的转换结果。例如,将(100111101.10011)2转换成十六进制数:即(100111101.10011)2=(13D.98)164.十六进制数转换成二进制数十六进制数转换成二进制数时,只

9、要把十六进制数的每一位数码分别转换成四位二进制数,并保持原序列即可。整数最高位一组左边的0和小数最低位一组右边的0可以省略。例如,将(35A.26)16转换成二进制数:即(35A.26)16=(1101011010.0010011)2三、码制数字电路中处理的信息除了数制信息外,还有文字、符号以及一些特定的操作(例如表示确认的回车操作)等,一般要处理这些信息,必须将其用二进制数码来表示。为了便于记忆和查找,这些用来表示特定含义的二进制数码在编码时必须遵循一定的规则,这个规则就是码制。这些特定二进制数码称为这些信息的代码,这些代码的编制过程称为编码。1.2.3 逻辑代数的基本运算一、逻辑代数的基本

10、概念逻辑是指事物之间的因果关系,或者说是条件与结果的关系,这些因果关系可以用逻辑运算来表示,也就是用逻辑代数来描述。二、基本逻辑运算基本逻辑运算有与、或、非三种。任何复杂的逻辑关系都可以通过与、或、非组合而成。为了便于理解,我们用开关控制电路(见图1-3)为例来说明这三种运算。将开关闭合或断开(即状态真或假)作为条件,将灯亮或灯灭作为结果。图1-3 用于说明与、或、非定义的电路 在图1-3(a)所示电路中,只有当两个开关同时闭合时,指示灯才会亮,即决定事物结果的全部条件同时为真,结果才会发生。这种因果关系叫做逻辑与,也叫做逻辑相乘,其逻辑运算符号为“”,逻辑函数表达式为Y=AB在图1-3(b)

11、所示电路中,只要任何一个开关闭合,指示灯就会亮,即决定事物结果的诸条件中只要有任何一个为真,结果就会发生。这种因果关系叫做逻辑或,也叫做逻辑相加,其逻辑运算符号为“”,逻辑函数表达式为Y=A+B在图1-3(c)所示电路中,当开关断开时灯亮,开关闭合时灯灭,即只要条件为真,结果就不会发生;而当条件为假时,结果则发生。这种因果关系叫做逻辑非,也叫做逻辑求反,其逻辑运算符号为“-”,逻辑函数表达式为若以A、B表示开关的状态,1表示开关闭合,0表示开关断开;Y表示指示灯的状态,1表示灯亮,0表示灯灭。可以列出图1-3各电路对应的逻辑关系图表,如表1-3、表1-4、表1-5所示,这种图表又称为真值表。与

12、、或、非逻辑运算还可以用图1-4所示的图形符号表示。这些图形符号也表示相应的逻辑门电路。图1-4 与、或、非逻辑运算的图形符号三、复合逻辑运算除了与、或、非三种基本逻辑运算外,还有五种复合逻辑运算,这五种复合逻辑运算是由三种基本逻辑运算中的两种或三种组合而成的,它们的逻辑表达式、逻辑符号、真值表及逻辑运算规律如表1-6所示。1.2.4 逻辑代数的基本定律及基本规则一、逻辑代数的基本定律逻辑代数的基本定律反映了逻辑运算的一些基本规律,只有掌握了这些基本定律,才能正确地分析和设计逻辑电路。表1-7列出了逻辑代数的基本定律。二、逻辑代数的基本规则1.代入规则在任何一个逻辑等式中,如果将等式两边的某一

13、变量都用一个函数代替,则等式仍然成立。代入规则之所以成立,是因为任何一个逻辑函数也和逻辑变量一样,只有0和1两种取值,可以将逻辑函数作为逻辑变量对待,则上述规则必然成立。利用代入规则可以扩大基本定律的应用范围。例如,A(B+C)=AB+AC,若用G=D+E代替等式中的C,则A(B+G)=AB+(D+E)=AB+A(D+E)=AB+AD+AE2.反演规则若将逻辑函数Y中所有的“”换成“+”,“+”换成“”,0换成1,1换成0,原变量换成反变量,反变量换成原变量,则得到的结果就是 Y。反演规则为求取已知逻辑式的反逻辑式提供了方便。在使用反演规则时还需注意遵守以下两个规定:一是仍需遵守“先括号,然后

14、与,最后或”的运算优先次序;二是不属于单个变量上的非号应保留不变。3.对偶规则若将逻辑函数Y中所有的“”换成“+”,“+”换成“”,0换成1,1换成0,并保持原先的逻辑优先级,变量不变,两个变量以上的“非”号不动,则可得原函数Y的对偶式Y,且Y和Y互为对偶式。1.2.5 逻辑函数的表示方法及其相互转换一、逻辑函数的表示方法逻辑函数中用字母A,B,C,表示输入变量,用Y表示输出变量,一般地说,如果输入变量A,B,C,取值确定之后,输出变量Y的值也被唯一确定,那么就称Y是A,B,C,的函数,并写成Y=F(A,B,C,)图1-5 举重裁判电路 若以1表示开关闭合,0表示开关断开;以1表示灯亮,以0表

15、示灯灭,则指示灯Y的状态是开关A、B、C状态的二值逻辑函数,即Y=F(A,B,C)1.真值表将输入变量组合按二进制代码由小到大的顺序一一列出来,并将输入变量所有取值组合下对应的输出值找出来,形成表格,即为真值表。2.逻辑函数表达式将输出变量与输入变量之间的关系写成与、或、非运算的表达式,即为逻辑函数表达式。在图1-5所示电路中,根据对电路的功能要求和与、或运算的逻辑定义,“B、C中至少有一个闭合”可以表示为(B+C),“同时还要求闭合A”,则应写做A(B+C),因此得到输出Y的逻辑函数表达式:Y=A(B+C)3.逻辑图将逻辑函数中各变量之间的与、或、非逻辑运算用图形符号表示出来,即为逻辑图。上

16、式的逻辑图如图1-6所示。图1-6 举重裁判电路的逻辑图 二、逻辑函数各种表示方法之间的相互转换1.由真值表写出逻辑函数表达式已知真值表写出逻辑函数表达式的一般方法是:(1)挑出真值表中使函数值为1的输入变量组合。(2)将挑出的每组变量组合对应写成一个与项,其中变量取值为1的写成原变量,变量取值为0的写成反变量。(3)将这些与项相或,就可以得到逻辑函数的标准与或式。例1.1 已知一个逻辑函数的真值表如表1-9所示,试写出其逻辑函数表达式。解 A、B、C有4种取值组合使Y为1。按照变量取值为1的写成原变量,变量取值为0的写成反变量的原则,可得4个乘积项:将这4个乘积项相或所得到的就是逻辑函数Y的

17、表达式,即2.由逻辑函数表达式写出真值表只要把输入变量的所有取值组合代入逻辑函数表达式后进行运算求出函数值,把输入变量与函数值的对应关系用表格的形式列出,即得到真值表。例1.2 已知逻辑函数表达式为求出对应的真值表。解 只要将A、B的各种取值组合逐一代入逻辑函数表达式Y进行计算,将计算结果列成表,即得表1-10所示的真值表。3.由逻辑函数表达式画出逻辑图将逻辑运算中的图形符号逐一代替逻辑函数表达式中的逻辑运算符号,就可以画出对应的逻辑图。例1.3 已知逻辑函数表达式为Y=(A+B)C,画出其逻辑图。解 A、B是或运算,而和C是与运算,用逻辑运算的图形符号代替式中的逻辑运算符号,即可得图1-7所

18、示的逻辑图。图1-7 例1.3的逻辑图 4.根据逻辑图写出逻辑函数表达式只要由输入端到输出端逐级写出每个图形符号对应的逻辑函数表达式,就可以得到与逻辑图对应的逻辑函数表达式。例1.4 已知逻辑函数Y的逻辑图如图1-8所示,试写出其逻辑函数表达式。解 从输入端A、B开始逐个写出每个图形符号输出端的逻辑式,得到 图1-8 例1.4的逻辑图1.2.6 逻辑函数的化简一个逻辑函数可能有多种不同的表达式,表达式越简单,则与之相对应的逻辑图越简单。逻辑函数表达式不同,其最简标准也不相同。下面以最常用的与或表达式为例,介绍有关化简的标准。与或表达式是否为最简表达式的判定标准有两条:(1)表达式中所含与项的个

19、数最少。(2)每个与项中变量最少。一、公式化简法1.并项法并项法就是根据公式将两项合并为一项,消去一对互为反变量的因子。例如:2.吸收法吸收法就是根据公式A+AB=A,吸收逻辑函数中的多余项。例如:3.消项法消项法就是根据公式消去逻辑函数中的多余项。例如:4.消因子法消因子法就是利用公式消去逻辑函数中各项的多余因子。例如:5.配项法配项法就是利用互补律将函数式中的某一项乘以后拆分成两项,再与其他项合并,或者利用重叠律A+A=A,在逻辑函数式中重新写入某一项,再与其他项合并。例如:二、卡诺图化简法1.逻辑函数的最小项在n个变量的逻辑函数中,若m为包含n个变量的乘积项,而且这n个变量均以原变量或反

20、变量的形式在m中出现且仅出现一次,则称m为该组变量的一个最小项。例如:A、B、C三个变量的最小项有ABC共8个(即23个)最小项(如表1-11所示),可见,n个变量的最小项共有2n个。对于任意一个最小项,只有一组变量使它的值为1,而变量的其他取值组合都使它为0。任一逻辑函数都可以表示成唯一的一组最小项之和,即逻辑函数的最小项表达式。例如,给定逻辑函数:则可化简为最小项表达式,即有时也简写为m(0,1,2,3,7)或(0,1,2,3,7)的形式。2.表示最小项的卡诺图卡诺图称为最小项方格图,是将最小项按一定规则排列成的方格阵列。将n变量的全部最小项各用一个小方格表示,并使具有逻辑相邻性的最小项在

21、几何位置上也相邻地排列起来,所得到的图形叫做n变量最小项的卡诺图。图1-9中给出了二到五变量最小项的卡诺图。其方格上方和左方是对应输入变量取值的组合,方格内是对应的最小项。图1-9 二到五变量最小项的卡诺图 3.逻辑函数的卡诺图表示法既然任何一个逻辑函数都能表示为若干最小项之和的形式,那么自然也就可以用卡诺图来表示任何一个逻辑函数。具体方法是:先将逻辑函数化为最小项之和的形式,然后在卡诺图上将与这些最小项对应的小方格内填入1,在其余位置上填入0(有时为了简化,只填1,不填0),就得到了表示该逻辑函数的卡诺图。也就是说,任何一个逻辑函数都等于它的卡诺图中填入1的那些最小项之和。例1.5 用卡诺图

22、表示逻辑函数解 首先将Y化为最小项之和的形式:对应的卡诺图如图1-10 所示。图1-10 例1.5题的卡诺图 4.逻辑函数的卡诺图化简法(1)化简依据。(2)合并最小项的规律。(3)合并规则。例1.6 化简图1-11(a)中卡诺图表示的逻辑函数。解 合并最小项的方法有多种,如图1-11(b)将相邻两个小方格画包围圈读出,即AB,将四个小方格画包围圈读出,即B,得Y=AB+B不是最简形式;如图1-11(c)中将两组四个小方格画包围圈分别读出,即A、B,得最简形式Y=A+B。因此应尽可能多地将相邻的1圈起来,只要符合2n个1相邻即可,所以图1-11(c)的圈法最合理,故 Y=A+B。图1-11 例

23、1.6题的卡诺图例1.7 化简逻辑函数解 给定与或表达式,尽管不全是最小项,也可直接填入卡诺图,如图1-12(a)所示。画1包围圈,得最简与或表达式:本例由于0的方格数少,也可以按圈0的化简步骤,在图1-12(b)中画0包围圈,得到逻辑函数的反函数表达式:则 图1-12 例1.7题的卡诺图(4)化简步骤。通过上述分析,可以归纳出用卡诺图化简逻辑函数的具体步骤如下:(1)将函数化为最小项之和的形式。(2)画出表示该逻辑函数的卡诺图。(3)按相邻性原则找出可以合并的最小项,画包围圈。包围圈中1的个数尽可能地多,但必须等于2n个。(4)根据合并规则读出每个包围圈的乘积项,将所有包围圈的乘积项写成与或

24、表达式,即得最简逻辑函数式。5.具有无关项的逻辑函数的化简1)逻辑函数中的无关项在实际逻辑问题中,经常会遇到这样一种情况,即对输入变量的取值有所限制。我们将对输入变量的取值所加的限制称为约束。在约束条件下,把变量组合取值恒等于0的那些最小项称为约束项。例如,有三个逻辑变量A、B、C,分别表示一台电动机的正转、反转和停止命令:A=1 表示正转,B=1表示反转,C=1表示停止。因为电动机任何时候只能执行一个命令,所以不允许两个以上的变量同时为1,即ABC的取值只能出现001、010和100,而且不能出现000、011、101、110、111中的任何一种。因此,A、B、C是一组具有约束条件的变量。上

25、述约束条件可以表示为或写成表示电动机运行情况的逻辑函数式可以写为或者2)具有无关项的逻辑函数的化简具有无关项的逻辑函数,在化简时究竟将无关项看成1还是0,原则上应以得到的相邻最小项矩形组合最大,而且矩形组合数目最少为准。例1.8 化简逻辑函数其约束条件为解 应用卡诺图化简,可以直观地看出应该使用哪些无关项。画出的卡诺图如图1-13 所示,从图中不难看出,为了得到最大的包围圈,应取约束项m3、m5为1,与m1、m7组成一个包围圈;取m10、m12、m14为1,与m8组成一个包围圈。将两组相邻的最小项合并后得到的化简结果为卡诺图中未使用的约束项m9、m15为0。图1-13 例1.8题的卡诺图例1.

26、9 化简逻辑函数:Y(A,B,C,D)=m(2,4,6,8)+d(10,11,12,13,14,15)解 画出的卡诺图如图1-14所示。若认为约束项m10、m12、m14为1,而其他约束项为0,则可圈出三个包围圈,如图1-14所示,其化简结果为 图1-14 例1.9题的卡诺图1.2.7 集成门电路1.TTL集成门电路1)TTL集成门电路的主要系列按照国际通用标准,依据工作温度不同,TTL集成门电路分为TTL54系列(-55 125)和TTL74系列(0 70)。每一系列按工作速度、功耗的不同,又分为标准系列、H系列、S系列、LS系列和ALS系列等。2)TTL与非门在TTL集成门电路中,与非门是

27、基础,虽然集成门电路的种类很多,但大部分是由与非门稍加改动得到的,或者是由与非门的若干部分组合而成的,因此这里重点介绍与非门。(1)TTL与非门。以74LS00(7400)为例,其引线排布如图1-15所示,它也称为四二输入与非门(即内部有四个二输入的与非门)。图1-16所示为与非门的逻辑符号,总的限定符号“&”表示与单元,输出限定符号 表示逻辑非。图1-15 74LS00的引线排布 图1-16 与非门的逻辑符号(2)TTL与非门的电压传输特性。门电路的基本特性是输入/输出特性,一般用电压传输特性来表示。如图1-17所示为几种TTL与非门的电压传输特性曲线图。图1-17 TTL与非门的电压传输特

28、性曲线图3)TTL与非门的输出延迟时间。由于电荷积累以及分布电容的存在,TTL与非门在信号传输过程中会产生一定的延迟时间,如图1-18所示。图1-18 TTL与非门电路延迟时间当输入Ui由低电平变为高电平时,输出Uo由高电平变为低电平。将输入波形上升沿的50%与输出波形下降沿的50%之间的时间称为导通延迟时间tPHL;同样,输入波形下降沿的50%与输出波形上升沿的50%之间的时间称为截止延迟时间tPLH。导通延迟时间与截止延迟时间的平均值为平均延迟时间tpd,即 2.其他功能的TTL门电路常用的其他功能的TTL门电路主要有非门(反相器)、与门、或非门、或门、异或门、同或门、与或非门等,前六种门

29、的逻辑符号在前面已做介绍,这里不再赘述。与或非门的逻辑组合及逻辑符号如图1-19所示,其逻辑功能为 图1-19 与或非门元件的邻接,在数字电路逻辑符号中是非常常见的。以74LS04六非门电路为例,图1-20 所示为其引脚图和图形符号。图1-20 74LS04六非门3.三态门三态门与前面介绍的门电路不同,三态门的输出除了“1”状态、“0”状态(高电平、低电平)之外,还有第三种状态高阻态。高阻态并不表示逻辑意义上的第三种状态,它只表示当门电路的输出阻抗非常大时,输入与输出之间可以视为开路,即对外电路不起任何作用。在数字电路中,三态门是一种特别实用的门电路,尤其是在计算机电路中得到了广泛应用。现以三

30、态非门为例作介绍,其逻辑符号如图1-21所示。图1-21 三态非门的逻辑符号4.集电极开路门(OC门)OC门也是一种特殊的门电路,现以集电极开路与非门为例作介绍。图1-22 集电极开路与非门 注意:OC门不是按功能分类的,只是电路的输出结构不同,在接法上与前面介绍的门电路有区别。OC门除了实现电平转换以外,还可以实现输出并联,如图1-23所示是将两个OC与非门输出并联,这种接法称为“线与”,即将几个OC门的输出端直接连接,完成各OC门输出相与的逻辑功能。图1-23 OC门“线与”的接法图1-23所实现的逻辑功能是:OC门的输出端还可以直接驱动负载,如继电器、LED等元件,如图1-24所示。图1

31、-24 OC作为驱动电路二、CMOS集成门电路1.CMOS集成门电路的主要系列(1)4000B系列。(2)74HC系列(简称HS或H-CMOS等)。2.CMOS集成门电路的电压传输特性1)CMOS非门的工作原理下面以CMOS反相器为例,介绍其工作原理,如图1-25所示。图1-25 CMOS非门的工作原理2)74HC系列CMOS非门的输入/输出特性以74HC系列CMOS非门为例,其输入/输出特性如图1-26所示。图1-26 输入/输出特性 图1-27 74HC04六非门3.CMOS集成门电路的主要特点(1)具有非常低的静态功耗。(2)具有非常高的输入阻抗。(3)具有较宽的电源电压范围。(4)扇出

32、能力强。(5)抗干扰能力强。(6)逻辑摆幅大。(7)接口方便。三、集成门电路的使用1.工作电源电压范围TTL类型的逻辑器件,其标准工作电压是+5 V。CMOS逻辑器件的工作电源电压大都有较宽的允许范围,如CMOS集成门电路中的4000B系列可以工作在3 V18 V范围内。各类常用逻辑器件的工作电压范围如表1-13所示。2.TTL门电路与CMOS门电路的接口1)TTL门电路驱动CMOS门电路若选择相同的工作电源电压(+5 V),则二者之间可以直接连接。但由于TTL门电路的输出高电平为2.4 V3.6 V,而CMOS门电路的输入高电平最小也要3.5 V,高电平不匹配,因此要在TTL输出端和电源之间

33、接一个电阻R1(3 k)以提升TTL的输出高电平。图1-28 TTL门电路驱动CMOS门电路 2)CMOS门电路驱动TTL门电路若UDD=UCC=+5 V,虽然CMOS门电路驱动的电流不大,但是直接驱动一个TTL门电路还是没有问题的,若驱动TTL门电路太多或负载较重,就要采用专用缓冲驱动器,如CC4050能直接驱动两个TTL门电路,或采用漏极开路(OD)门电路来驱动,电路如图1-29 所示。图1-29 CMOS门电路驱动TTL门电路3.集成逻辑门在使用中应注意的问题1)多余输入端的处理在使用集成门电路时,如果输入信号个数少于门的输入端子数,就有多余的输入端。对于多余输入端的处理,以不改变电路工

34、作状态、电路工作可靠性、接线简单等方面综合考虑为原则。2)电源数字电路的各种门电路对电源电压的要求是不同的。对于TTL门电路,电源电压为UCC=+5 V;对于CMOS门电路,电源电压范围比较宽,UDD可在3 V18 V之间取值。1.3 项 目 实 施1.3.1 集成门电路逻辑功能测试训练一、训练目的(1)掌握门电路逻辑功能的测试方法。(2)了解集成逻辑门电路的外形及引脚排列。(3)初步掌握数字电路实验仪器的使用方法。二、训练说明组成数字逻辑电路的基本单元有两大部分,一部分是门电路,另一部分是触发器。门电路实际上是一种条件开关电路,只有在输入信号满足一定逻辑条件时,开关电路才允许信号通过,否则信

35、号就不被允许通过,即门电路的输出信号与输入信号之间存在着一定的逻辑关系,故又称之为逻辑门电路。三、训练内容及步骤1.电平开关和电平显示器的使用与检测2.测试常用门电路的逻辑功能 图1-30 门电路逻辑功能测试电路 3.观察与非门的开关控制作用将被测与非门的A端接通连续方波信号(f=1 kHz,UP=3 V),在B端分别接“0”和“1”时,用示波器观察并记录其输出波形,并画于图1-31中的对应位置。图1-31 与非门对信号的开关控制作用1.3.2 项目操作指导一、元器件检测根据1.3.1节中常用门电路逻辑功能的测试方法对74LS00进行测试,用万用表对三极管、二极管、电阻等元件分别进行测试。二、

36、电路装配与调试1.电路装配根据图1-1,将检验合格的元器件按布线规则安装在万能电路板上,就可以按以下步骤进行调试。2.电路调试调试步骤如下:(1)仔细核对电路与元器件,正确无误后,接通电源(可用2节干电池代替)。(2)将测试探针与本测试笔地端(电源负极)相连,则绿色LED应该发光;将测试探针与电源正极相连,则红色LED应该发光;如果测试探针悬空,则黄色LED应该发光。(3)性能测试。图1-32 逻辑测试笔性能测试图三、故障分析与排除产生故障的原因主要有以下几个方面:(1)电路设计错误。(2)布线错误。(3)集成器件使用不当或功能失效。(4)芯片插座不正常或使用不当。(5)仪表有故障或使用不当。

37、(6)干扰信号影响。1.4 项 目 总 结数字信号是指在时间和幅值上都不连续,并取一定离散数值的信号。矩形脉冲是一种典型的数字信号。用于传输、处理数字信号的电子电路称为数字电路。模拟信号通过模/数转换器变成数字信号后,就可以用数字电路进行传输、处理。数字电路按集成度的不同,可分为小规模数字集成电路、中规模数字集成电路、大规模数字集成电路和超大规模数字集成电路;按所用器件制作工艺的不同,可分为双极型(TTL型)和单极型(CMOS型)两类。常用的数制有二进制、八进制、十进制和十六进制等。常用的二-十进制(BCD)码一般分为有权BCD码和无权BCD码两类。逻辑函数遵循逻辑代数运算的法则。逻辑代数是一

38、种适用于逻辑推理,研究逻辑关系的主要数学工具,凭借这个工具,可以把逻辑要求用简洁的数学形式表达出来,并进行逻辑电路设计。逻辑函数反映的不是量与量之间的数量关系,而是逻辑关系。逻辑函数中的自变量和因变量只有1和0两种状态。逻辑函数有多种表示方法,例如真值表、逻辑函数表达式、卡诺图等,各种表示方法之间可以相互转换,在逻辑电路分析和设计中经常会用到这些方法。数字电路中最基本的逻辑关系有三种,即与逻辑、或逻辑和非逻辑,它们可由相应的与门、或门和非门来实现。与、或、非三种基本逻辑门电路是数字电路的基本单元,任何复杂逻辑电路系统都可以用与、或、非三种基本逻辑门电路组合构成,并以此为基础产生了与非、或非、与

39、或非等复合逻辑门电路。项目二 数码显示器的制作与调试2.1 项目描述2.2 知识链接2.3 项目实施2.4 项目总结2.1 项 目 描 述数字电路根据逻辑功能的不同,可将其分为两大类:一类是组合逻辑电路(简称组合电路),另一类是时序逻辑电路(简称时序电路),如图2-1所示。图2-1 数字电路分类框图2.1.1 项目学习情境:数码显示器的制作与调试数码显示器的电路原理图如图2-2所示。该项目需完成的主要任务是:熟悉电路各元器件的作用;进行电路元器件的安装;进行电路参数的测试与调整;撰写电路制作报告。图2-2 数码显示器电路原理图 2.1.2 电路分析与电路元器件参数及功能一、电路分析图2-2所示

40、电路包括编码电路、反相电路和译码显示电路三部分。二、电路元器件参数及功能数码显示器的制作与调试电路元器件参数及功能如表2-1所示。2.2 知 识 链 接组合电路是由与门、或门、与非门、或非门等几种逻辑门组合而成的,它的基本特点是:输出状态仅取决于该时刻的输入信号,与输入信号前的电路状态无关。2.2.1 组合电路概述一、组合电路在任一时刻,如果逻辑电路的输出状态只取决于输入各状态的组合,而与电路原来的状态无关,则称该电路为组合电路。假设组合电路有n个输入端,m个输出端,其框图如图2-3所示。图2-3 组合电路框图 二、组合电路特点(1)从功能上看,组合电路的输出信号只取决于输入信号的组合,与电路

41、原来的状态无关,即组合电路没有记忆功能。(2)从电路结构上看,组合电路由逻辑门组成,只有输入到输出的正向通路,没有输出到输入的反馈通路。(3)门电路是组合电路的基本单元。三、组合电路的分类(1)按输出端数目可分为单输出电路和多输出电路。(2)按电路的逻辑功能分为加法器、编码器、译码器、数据选择器等。(3)按集成度分为小规模、中规模、大规模和超大规模集成电路。(4)按器件的极性可分为TTL型和CMOS型。2.2.2 组合电路的分析组合电路的分析,是已知组合逻辑电路图,分析其逻辑功能,分析步骤如图2-4所示。图2-4 组合电路分析步骤例2.1 分析图2-5所示电路的逻辑功能。解 (1)写出逻辑函数

42、表达式,即(2)化简逻辑函数表达式,即(3)列出真值表,见表2-3。(4)概括电路的逻辑功能:该电路具有同或逻辑功能。图2-5 例2.1图 例2.2 电路的输出波形如图2-6所示,分析该电路的逻辑功能。解 根据波形图,列写真值表,如表2-4所示。然后根据真值表,写出函数表达式:图2-6 例2.2的波形图2.2.3 组合电路的设计组合电路的设计是分析的逆过程,即最终设计出满足功能要求的最简逻辑电路图。所谓“最简”,就是指电路所用的器件数最少,器件种类最少,器件间的连线也最少。组合电路的设计,简言之,已知功能,设计电路。设计步骤如图2-7所示。图2-7 组合电路分析步骤例2.3 设计一个三人表决器

43、。要求当三个人中有两个或三个表示同意,则表决通过,否则不能通过。用与非门实现。解 (1)进行逻辑抽象。确定输入变量和输出变量,并赋值。分析命题,假设三个人为输入变量,分别用A、B、C表示,且为1时表示同意,为0表示不同意。表决的结果为输出变量,用Y表示,且为1时表示通过,为0表示不能通过。根据命题列真值表,见表2-5。(2)根据真值表,写出逻辑函数表达式,有(3)根据所给逻辑器件(与非门)化简、变换逻辑函数(4)根据逻辑函数表达式画出逻辑图,如图2-8所示。图2-8 例2.3逻辑图 例2.4 有三个班学生上自习,大教室能容纳两个班学生,小教室能容纳一个班学生。设计两个教室是否开灯的逻辑控制电路

44、,要求如下:(1)一个班学生上自习,开小教室的灯;(2)两个班上自习,开大教室的灯;(3)三个班上自习,两教室均开灯。解 (1)进行逻辑抽象,并列出真值表。根据设计要求,设输入变量、分别表示三个班学生是否上自习,1表示上自习,表示不上自习;输出变量、分别表示大教室、小教室的灯是否亮,表示亮,表示灭。根据逻辑要求列出真值表,如表2-6所示。(2)写出逻辑表达式:(3)函数化简。利用卡诺图化简将表达式化为最简表达式,如图2-9。图2-9 例2.4的卡诺图(4)画出逻辑电路,如图2-10(a)所示。若要求用与非门,实现该设计电路的设计步骤如下:首先,将化简后的与或逻辑表达式转换为与非形式然后再画出图

45、2-10(b)所示的用与非门实现的组合电路。图2-10 例2.4的电路图2.2.4 常用集成组合电路一、编码器在数字系统中,把具有某种特定含义的信号(文字、数字、符号)变成(二进制)代码的过程,称为编码。实现编码操作的数字电路称为编码器。编码器的典型应用如图2-11所示。图2-11 编码器的典型应用编码器的分类如下:1.二进制编码器当编码器满足N=2n时(Nn),称其为二进制编码器。其中N为输入信号的个数,n为输出二进制代码的位数。二进制编码器框图如图2-12所示。图2-12 二进制编码器框图 例2.5 把 0,1,2,7 这八个数编成二进制代码。解 这是一个三位二进制编码(8-3线编码)器,

46、属于根据要求设计电路。第一步:确定编码矩阵(见图2-13)和编码表(见表2-7)。图2-13 例2.5的编码矩阵 第二步:由编码表列出二进制代码每一位的逻辑表达式。A4+5+6+7B2+3+6+7C1+3+5+7第三步:依据表达式画出用或门组成的编码电路,如图2-14所示。图2-14 例2.5的编码电路2 非二进制编码器二-十进制编码器将十进制数0、1、2、3、4、5、6、7、8、9 等10个数字编成二进制代码的电路叫做二-十进制编码器。它的输入是代表09这10个数字的状态信号,有效信号为1(即某信号为1时,则表示要对它进行编码),输出是相应的BCD码,因此也称10-4线编码器。它和二进制编码

47、器特点一样,任何时刻只允许输入一个有效信号。例2.6 把09这10个数编成8421码。解 这是一个非二进制编码器,属二-十进制编码器。第一步:确定编码矩阵和编码表。10个数要用四位二进制代码表示,而四位二进制数有16种状态。从16种状态中选取10个状态,方案很多。我们以8421BCD码为例,其编码矩阵和编码表分别如图2-15和表2-8所示。图2-15 例2.6的编码矩阵第二步:由编码表列出各输出函数的逻辑表达式。第三步:依据表达式画出用与非门组成的编码电路,如图2-16所示。图2-16 例2.6的编码电路3.优先编码器 优先编码器与普通编码器不同,优先编码器允许输入端有多个有效信号,电路只对其

48、中优先级别最高的信号进行编码,对级别较低的输入信号不予理睬,常用于优先中断系统和键盘编码。图2-17 74LS148逻辑符号 图2-18 16-4线优先编码器 二、译码器 译码是编码的逆过程,即将每一组输入二进制代码“翻译”成为一个特定的输出信号。实现译码功能的数字电路称为译码器。译码器的任务是要将输入的数码变换成所需的信号,广泛应用于各类电子显示屏、计算机显示器等设备上,其典型应用如图2-19所示。图2-19 译码器的典型应用电路1.二进制译码器将二进制码按其原意翻译成相应输出信号的电路,称为二进制译码器。图2-20 2-4线译码器 图2-21 3-8线译码器 图2-22 74LS138电路

49、图和逻辑符号图 图2-23 4-16译码器连接图2.二-十进制译码器二-十进制译码器也称BCD译码器,它的功能是将输入的一位BCD码(四位二元符号)译成10个高、低电平输出信号,因此也叫4-10译码器。以8421BCD码为例,由于它需要四位二进制代码,且有16种状态,故有六个多余状态,化简时作为无关项考虑。8421BCD码的译码矩阵如图2-24所示,由图2-24可得如下译码关系:8421BCD码的译码电路如图2-25所示。图2-24 8421BCD码译码矩阵 图2-25 8421BCD码译码电路3.显示译码器与二进制译码器不同,显示译码器是用来驱动显示器件,以显示数字或字符的中规模集成电路。显

50、示译码器随显示器件的类型而异,与辉光数码管相配的是BCD十进制译码器,而常用的发光二极管(LED)数码管、液晶数码管、荧光数码管等是由7个或8个字段构成字形的,因而与之相配的有BCD七段或BCD八段显示译码器。现以驱动LED数码管的BCD七段译码器为例,简介显示译码原理。图2-26 显示译码器的组成框图图2-27 7段LED数码管的外形图和内部发光二极管的共阴、共阳两种接法的电路图 图2-28 显示译码器输入输出方框图 根据真值表我们可以得到各段的最简表达式。以a段为例,利用卡诺图化简如图 2-29所示。同理可得 图2-29 显示译码器卡诺图 图2-30 74LS48内部电路图例2.7 用译码

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 办公、行业 > 各类PPT课件(模板)
版权提示 | 免责声明

1,本文(教学课件·数字电子技术项目化教程.ppt)为本站会员(三亚风情)主动上传,163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。
2,用户下载本文档,所消耗的文币(积分)将全额增加到上传者的账号。
3, 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(发送邮件至3464097650@qq.com或直接QQ联系客服),我们立即给予删除!


侵权处理QQ:3464097650--上传资料QQ:3464097650

【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。


163文库-Www.163Wenku.Com |网站地图|