教学课件·微机原理与接口技术.ppt

上传人(卖家):三亚风情 文档编号:3523521 上传时间:2022-09-11 格式:PPT 页数:767 大小:10.12MB
下载 相关 举报
教学课件·微机原理与接口技术.ppt_第1页
第1页 / 共767页
教学课件·微机原理与接口技术.ppt_第2页
第2页 / 共767页
教学课件·微机原理与接口技术.ppt_第3页
第3页 / 共767页
教学课件·微机原理与接口技术.ppt_第4页
第4页 / 共767页
教学课件·微机原理与接口技术.ppt_第5页
第5页 / 共767页
点击查看更多>>
资源描述

1、第第1 1章章 概述概述 1.1 1.1 微型计算机的组成特点与发展微型计算机的组成特点与发展 1.2 1.2 微型计算机的系统组成微型计算机的系统组成 1.3 1.3 80868086微处理器的内部组成与工作模式微处理器的内部组成与工作模式 1.1 1.1 计算机的产生与发展计算机的产生与发展 1.1.1 1.1.1 微型计算机的组成特点微型计算机的组成特点 1.1.2 1.1.2 微型计算机的产生与发展微型计算机的产生与发展1.1.1 1.1.1 微型计算机的组成特点微型计算机的组成特点1.1.微型计算机的组成特点微型计算机的组成特点 (1)1)结构紧凑,体积小,重量轻,使用方便灵活。结构

2、紧凑,体积小,重量轻,使用方便灵活。(2)(2)功耗小,价格低廉。功耗小,价格低廉。(3)(3)可靠性高,应用广泛。可靠性高,应用广泛。2 2主要性能与技术指标主要性能与技术指标 (1)1)字长字长 字长是计算机一次能直接处理二进制数据的位数,一般与运算器字长是计算机一次能直接处理二进制数据的位数,一般与运算器的位数一致,字长越长,运算精度越高。目前,微型计算机的字长主的位数一致,字长越长,运算精度越高。目前,微型计算机的字长主要有要有8 8位、位、1616位、位、3232位和位和6464位等。位等。(2)2)运算速度运算速度 运算速度是指计算机每秒执行基本指令的条数。它反映计算机运运算速度是

3、指计算机每秒执行基本指令的条数。它反映计算机运算和对数据处理的速度,表示单位有次算和对数据处理的速度,表示单位有次/秒、百万次秒、百万次/秒、亿次秒、亿次/秒等。秒等。(3)3)主频主频 主频是指计算机的主时钟频率,它在很大程度上反映了计算机的主频是指计算机的主时钟频率,它在很大程度上反映了计算机的运算速度。主频的单位是赫兹(运算速度。主频的单位是赫兹(HzHz)。)。(4)(4)内存储器容量内存储器容量 内存储器以字节为单位,其容量表示存储二进制数据的能力,常内存储器以字节为单位,其容量表示存储二进制数据的能力,常用千字节(用千字节(KBKB)、兆字节()、兆字节(MBMB)、千兆字节()、

4、千兆字节(KMBKMB)或吉字节()或吉字节(GBGB)表示。表示。(5)(5)外存储器容量外存储器容量 外存储器设置在计算机的外部,主要用来存储暂不执行和不被处外存储器设置在计算机的外部,主要用来存储暂不执行和不被处理的数据,标志计算机存储信息的能力。理的数据,标志计算机存储信息的能力。1.1.2 1.1.2 微型计算机的产生与发展微型计算机的产生与发展19711971年年 美国美国IntelIntel公司研制的公司研制的4 4位位Intel 4004 CPUIntel 4004 CPU,19721972年年 美国美国IntelIntel公司推出了公司推出了Intel 8008Intel 8

5、008,字长,字长8 8位,被称为第一代微位,被称为第一代微处理器。处理器。19731973年年19751975年年 IntelIntel公司又推出了公司又推出了Intel 8080Intel 8080,MotorolaMotorola公司推出了公司推出了MC 6800MC 6800,字长均为,字长均为8 8位,被称为第二代微处理器产品。位,被称为第二代微处理器产品。19761976年年19771977年年 Intel 8085Intel 8085、MC 6802MC 6802和和ZilogZilog公司的公司的Z80Z80,字长为,字长为8 8位,位,被称为第三代产品。被称为第三代产品。19

6、781978年以后年以后 Intel 8086Intel 8086、MC 6809MC 6809和和Z8000Z8000等,字长为等,字长为1616位,位,19821982年年 又推出了又推出了Intel 80286Intel 80286,成为微处理器中的佼佼者。单片机有,成为微处理器中的佼佼者。单片机有MCS-51/96MCS-51/96、MC 6801/6805MC 6801/6805、Z8Z8等,分别为等,分别为8 8位和位和1616位。这些,在当时位。这些,在当时被称为第四代微处理器。被称为第四代微处理器。19851985年年 IntelIntel公司又推出了公司又推出了8038680

7、386,标志着微处理器进入了,标志着微处理器进入了3232位的时代。位的时代。19931993年年 IntelIntel公司推出了公司推出了PentiumPentium微处理器,复杂指令集(微处理器,复杂指令集(CISCCISC)与精)与精简指令集相结合,内部总线简指令集相结合,内部总线3232位,数据线位,数据线6464位,两个位,两个ALUALU,两条并行,两条并行整数流水线,支持两条指令同时执行,被称为整数流水线,支持两条指令同时执行,被称为IntelIntel第五代微处理器。第五代微处理器。19951995年年1111月月 IntelIntel公司又推出了公司又推出了Pentium P

8、roPentium Pro。19971997年年1 1月月 IntelIntel公司又推出了带有多媒体指令的公司又推出了带有多媒体指令的Pentium MMXPentium MMX,新增,新增5757条指令,用于多媒体技术。条指令,用于多媒体技术。此后此后 IntelIntel公司又相继推出了公司又相继推出了Pentium/4Pentium/4微处理器,集成度达到每微处理器,集成度达到每片千万个以上晶体管,时钟频率达到片千万个以上晶体管,时钟频率达到100 MHz100 MHz3.6 GHz3.6 GHz以上。以上。20012001年年 IntelIntel公司与公司与HPHP公司联合,推出了

9、公司联合,推出了IA-64IA-64微处理器(微处理器(ItaniumItanium),),是一种超标量结构是一种超标量结构+EPIC+EPIC(显式并行指令计算)技术的高档(显式并行指令计算)技术的高档6464位微处位微处理器。与此同时,理器。与此同时,AMDAMD公司也推出了自己的公司也推出了自己的AMD x86-64AMD x86-64产品。产品。1.2 微型计算机的系统组成微型计算机的系统组成 1.2.1 1.2.1 微型计算机的系统配置微型计算机的系统配置 1.2.2 1.2.2 微型计算机和硬件系统微型计算机和硬件系统 1.2.3 1.2.3 微型计算机的软件系统微型计算机的软件系

10、统 1.2.1 微型计算机的系统配置微型计算机的系统配置用户程序应用软件包调试与诊断程序语言处理程序外存储器操作系统输出设备输入设备中央存储器应用软件系统软件软件系统外 部 设 备硬件系统主机主存储器运算器控制器微型计算机系统数据库微型计算机系统如图微型计算机系统如图1-11-1所示,由硬件系统和软件系统组成。所示,由硬件系统和软件系统组成。图1-1 微型计算机系统组成1.2.2 1.2.2 微型计算机和硬件系统微型计算机和硬件系统微型计算机硬件系统的组成如图微型计算机硬件系统的组成如图1-21-2所示,包括主机和常用外围所示,包括主机和常用外围设备。外围设备主要有显示器、键盘、鼠标、外存储器

11、、打印机、绘设备。外围设备主要有显示器、键盘、鼠标、外存储器、打印机、绘图仪以及调制解调器等通信设备。图仪以及调制解调器等通信设备。图1-2 微型计算机硬件系统组成1 1主机主机主机由中央处理器和主存储器组成,其芯片安装在一块印刷电路主机由中央处理器和主存储器组成,其芯片安装在一块印刷电路板上,称为主机板,简称主板。主机板放置在机箱内,合称为主机箱。板上,称为主机板,简称主板。主机板放置在机箱内,合称为主机箱。在主机板上安装有若干接口插座(也称为插槽或槽口),可插入与不在主机板上安装有若干接口插座(也称为插槽或槽口),可插入与不同外围设备连接的接口电路板,即适配器或接口卡。同外围设备连接的接口

12、电路板,即适配器或接口卡。主机箱内除了主板外,还有硬盘、软盘驱动器、光盘驱动器、电主机箱内除了主板外,还有硬盘、软盘驱动器、光盘驱动器、电源、扬声器和一个用于散热的电风扇。目前,人们常把主板和主机箱源、扬声器和一个用于散热的电风扇。目前,人们常把主板和主机箱统称为主机。统称为主机。主机箱的正面常有主机箱的正面常有1 1个(或者个(或者2 2个)软盘插口和一个光盘插口,可个)软盘插口和一个光盘插口,可分别插入软盘盘片和光盘盘片。主机箱的背面有多个与接口板连通的分别插入软盘盘片和光盘盘片。主机箱的背面有多个与接口板连通的插口,分别与键盘、显示器、鼠标、打印机和通信设备等连接。插口,分别与键盘、显示

13、器、鼠标、打印机和通信设备等连接。2 2总线结构总线结构总线是连接计算机中各组成部件,并进行数据传送的公共通路,总线是连接计算机中各组成部件,并进行数据传送的公共通路,可分为可分为3 3种,即数据总线(种,即数据总线(data busdata bus,DBDB)、地址总线()、地址总线(address busaddress bus,ABAB)和控制总线)和控制总线CBCB(control buscontrol bus,CBCB)。所谓总线结构是把各组成部)。所谓总线结构是把各组成部件(件(CPUCPU、主存储器、输入设备、输出设备等)通过专门的接口电路、主存储器、输入设备、输出设备等)通过专门

14、的接口电路连接在总线上,通过总线进行数据传送,如图连接在总线上,通过总线进行数据传送,如图1-31-3所示。所示。图1-3 微型计算机总线结构示意图3 3微处理器微处理器微处理器是制作在一块集微处理器是制作在一块集成电路芯片上的中央处理器成电路芯片上的中央处理器(CPUCPU),主要由运算器和控制),主要由运算器和控制器组成。器组成。(1 1)运算器)运算器 用来对数据进行运算或加用来对数据进行运算或加工处理的部件,由加法器、累加工处理的部件,由加法器、累加器、暂存寄存器和控制电路组成,器、暂存寄存器和控制电路组成,对数据进行算术逻辑运算。对数据进行算术逻辑运算。算术运算有加、减、乘、除、加算

15、术运算有加、减、乘、除、加1 1、减、减1 1等;逻辑运算有等;逻辑运算有“与与”、“或或”、“非非”、“异或异或”、“比较比较”和和“求补求补”等。等。(2 2)控制器)控制器 主要由程序计数器(主要由程序计数器(PCPC)、指令寄存器、指令译码器、微操作控)、指令寄存器、指令译码器、微操作控制电路(或微程序控制器)及控制逻辑电路组成,对指令进行译码,制电路(或微程序控制器)及控制逻辑电路组成,对指令进行译码,根据指令要求控制计算机各组成部件协调工作,即执行该指令。一条根据指令要求控制计算机各组成部件协调工作,即执行该指令。一条指令执行完后,取下一条指令。全部执行过程中,由时序电路提供时指令

16、执行完后,取下一条指令。全部执行过程中,由时序电路提供时序信号。序信号。4 4内存储器内存储器也称为主存储器(简称为内存或主存),与中央处理器合称为主也称为主存储器(简称为内存或主存),与中央处理器合称为主机。它由存储体、地址寄存器、地址译码器、数据输入机。它由存储体、地址寄存器、地址译码器、数据输入/输出寄存器输出寄存器和读和读/写控制电路组成。以字节为单位,多由半导体存储器构成。写控制电路组成。以字节为单位,多由半导体存储器构成。按功能可分为随机存取存储器和只读存储器。按功能可分为随机存取存储器和只读存储器。(1 1)随机存取存储器)随机存取存储器简称为随机存储器或简称为随机存储器或RAM

17、RAM,计算机工作时,其中的数据可以随,计算机工作时,其中的数据可以随机读出或者写入,关机或者停电后数据丢失。根据机读出或者写入,关机或者停电后数据丢失。根据RAMRAM电路,又可分电路,又可分为静态为静态SRAMSRAM和动态和动态DRAMDRAM。静态静态SRAMSRAM是用双极型或是用双极型或MOSMOS型晶体管构成的触发器作为基本存型晶体管构成的触发器作为基本存储单元,正常供电,数据保持稳定。动态储单元,正常供电,数据保持稳定。动态DRAMDRAM是用是用MOSMOS型晶体管的型晶体管的栅极电容存储数据信息,需要定时补充电荷,也称为刷新,因此称为栅极电容存储数据信息,需要定时补充电荷,

18、也称为刷新,因此称为动态存储器。动态存储器。(2 2)只读存储器)只读存储器简称为简称为ROMROM,其中的数据事先写入,计算机工作时只能读出使,其中的数据事先写入,计算机工作时只能读出使用。关机或者停电后数据不丢失,常用来存储固定程序或常数。只读用。关机或者停电后数据不丢失,常用来存储固定程序或常数。只读存储器又分为存储器又分为3 3种,第一种是固定只读存储器(种,第一种是固定只读存储器(ROMROM);第二种是可);第二种是可编程只读存储器(编程只读存储器(PROMPROM;第三种是可改写只读存储器(;第三种是可改写只读存储器(EPROMEPROM)。)。另外,还有另外,还有E E2 2P

19、ROMPROM、FlashFlash存储器。存储器。5 5外存储器外存储器简称为外存或辅存,容量大,用来存放暂不执行的程序和不被处简称为外存或辅存,容量大,用来存放暂不执行的程序和不被处理的数据。常用的有软盘、硬盘、优盘和光盘。关机后信息不会丢失,理的数据。常用的有软盘、硬盘、优盘和光盘。关机后信息不会丢失,可长期保存程序和数据,是微型计算机必不可少的存储器。开机前,可长期保存程序和数据,是微型计算机必不可少的存储器。开机前,程序和数据存放在外存储器中。开机后,程序和数据被调入主存储器程序和数据存放在外存储器中。开机后,程序和数据被调入主存储器执行或处理;暂不执行或不被处理时,再送回外存储器。

20、关机之前,执行或处理;暂不执行或不被处理时,再送回外存储器。关机之前,有用的程序和数据均需送到外存储器保存。有用的程序和数据均需送到外存储器保存。6 6输入输入/输出设备输出设备(1 1)输入设备)输入设备是用来向计算机输入程序和数据的设备。常用的有键盘、鼠标、是用来向计算机输入程序和数据的设备。常用的有键盘、鼠标、字盘阅读器、书写器、光笔、游戏摇杆等。在构成多媒体计算机时,字盘阅读器、书写器、光笔、游戏摇杆等。在构成多媒体计算机时,常用的还有扫描仪、读卡器、语音输入器、录音机、摄像机、数字照常用的还有扫描仪、读卡器、语音输入器、录音机、摄像机、数字照相机、光盘等;作为网络终端时,需要调制解调

21、器或者网卡等设备。相机、光盘等;作为网络终端时,需要调制解调器或者网卡等设备。(2 2)输出设备)输出设备是用来输出计算机运算或者数据处理结果的设备。常用的有显示是用来输出计算机运算或者数据处理结果的设备。常用的有显示器、打印机、绘图仪、投影仪、刻录机等。在构成多媒体计算机时,器、打印机、绘图仪、投影仪、刻录机等。在构成多媒体计算机时,还需要音响、影像等设备;作为网络终端时,还需要调制解调器或者还需要音响、影像等设备;作为网络终端时,还需要调制解调器或者网卡等设备。网卡等设备。1.2.3 1.2.3 微型计算机的软件系统微型计算机的软件系统软件可分为两类软件可分为两类:一类是系统软件;另一类是

22、应用软件。一类是系统软件;另一类是应用软件。1 1系统软件系统软件 (1 1)操作系统()操作系统(operating systemoperating system,OSOS)是计算机的核心程序,用来管理计算机中的硬件和软件,组织计是计算机的核心程序,用来管理计算机中的硬件和软件,组织计算机的工作流程,最大限度地提高资源利用率,为用户提供一个功能算机的工作流程,最大限度地提高资源利用率,为用户提供一个功能强、使用灵活方便的环境。强、使用灵活方便的环境。(2 2)语言处理程序)语言处理程序是用来把汇编语言程序或者高级语言程序转换成机器语言程序的是用来把汇编语言程序或者高级语言程序转换成机器语言程

23、序的程序,分为程序,分为3 3种,即汇编程序、编译程序和解释程序。种,即汇编程序、编译程序和解释程序。(3 3)其他系统软件)其他系统软件 包括许多服务程序或者工具软件,例如汉字输入程序、调试程序包括许多服务程序或者工具软件,例如汉字输入程序、调试程序Debug/PctoolsDebug/Pctools、系统诊断程序、系统诊断程序QAPLUSQAPLUS、文件压缩程序、文件压缩程序ARJARJ、硬盘管、硬盘管理程序理程序DM/ADM/ADMPLUSDM/ADM/ADMPLUS、系统配置程序、设备管理程序、网络、系统配置程序、设备管理程序、网络管理与通信程序、病毒防护程序等。管理与通信程序、病毒

24、防护程序等。2 2应用软件应用软件包括为用户提供的字表处理程序、软件开发程序、数据库管理程包括为用户提供的字表处理程序、软件开发程序、数据库管理程序及用户应用程序。序及用户应用程序。例如例如 WordWord、ExcelExcel、LotusLotus、Auto CADAuto CAD、PhotoshopPhotoshop、3DS3DS、FlashFlash、FoxBASEFoxBASE、FoxProFoxPro、OracleOracle、AccessAccess、SQL ServerSQL Server等。等。用户应用程序是用户根据具体任务,而设计的程序或者建立的数用户应用程序是用户根据具体

25、任务,而设计的程序或者建立的数据库。例如,卫星轨道计算程序、人事档案管理程序、语音识别程序、据库。例如,卫星轨道计算程序、人事档案管理程序、语音识别程序、工业控制程序、计算机辅助教学软件、电子游戏以及数字通信程序等。工业控制程序、计算机辅助教学软件、电子游戏以及数字通信程序等。1.3 80861.3 8086微处理器的内部组成与工作模式微处理器的内部组成与工作模式 1.3.1 80861.3.1 8086微处理器的内部组成微处理器的内部组成 1.3.2 1.3.2 存储器管理存储器管理 1.3.3 1.3.3 引脚信号与总线周期引脚信号与总线周期 1.3.4 1.3.4 工作模式工作模式 1.

26、3.5 1.3.5 中断控制中断控制 1.3.6 1.3.6 总线请求与响应总线请求与响应 1.3.7 1.3.7 复位与启动复位与启动 Intel 8086的基本组成如的基本组成如图图1-4所示,分为两大部分。虚线的左边是所示,分为两大部分。虚线的左边是执行部件执行部件EU(Execution Unit),右边是总线接口部件),右边是总线接口部件 BIU(Bus Interface Unit)。)。而而8088与与8086基本相同,区别仅在于内部指令队列是基本相同,区别仅在于内部指令队列是4个缓冲寄存器,个缓冲寄存器,外部采用外部采用8位数据线,因此称为准位数据线,因此称为准16位微处理器。

27、位微处理器。1.执行部件执行部件EU 执行部件由运算器执行部件由运算器ALU、通用寄存器、状态标志寄存器和执行部、通用寄存器、状态标志寄存器和执行部件控制电路组成,用于执行指令。件控制电路组成,用于执行指令。(1)运算器)运算器ALU 运算器也称为算术逻辑部件,用于算术运算、逻辑运算和数据传运算器也称为算术逻辑部件,用于算术运算、逻辑运算和数据传送等操作。送等操作。1.3.1 80861.3.1 8086微处理器的内部组成微处理器的内部组成 CS DS SS ES IP 段寄 存器 内部寄存器 I/O 控制 电路 16 位 20 位 地址加法器 图 2.1 8086 微处理器组成原理 执行部件

28、(EA)AH AL AX BH BL BX CH CL CX DH DL DX SP BP DI SI 通用寄存器 ALU 指令缓冲队列 运算寄存器 状态寄存器 执行部件 控制电路 1 2 3 4 5 6 16 位 总线接口部件(BIU)外部 总线 8 位 图1-4 (2 2)通用寄存器)通用寄存器 通用寄存器共有通用寄存器共有8 8个,即个,即AXAX、BXBX、CXCX、DXDX、SPSP、BPBP、DIDI和和SISI,各,各1616位。其中位。其中AXAX、BXBX、CXCX和和DXDX可分别分为两个可分别分为两个8 8位寄存器,依次表示为位寄存器,依次表示为AHAH、ALAL、BHB

29、H、BLBL、CHCH、CLCL、DHDH和和DLDL。这些寄存器除了作为通用数据寄存器。这些寄存器除了作为通用数据寄存器之外,还有一些专门的用途。之外,还有一些专门的用途。AXAX(AccumulatorAccumulator):):1616位累加器,在位累加器,在8 8位数据运算时,以位数据运算时,以ALAL作为作为累加器。累加器。BXBX(BaseBase):基址寄存器。):基址寄存器。CXCX(CountCount):隐含为计数器。):隐含为计数器。DXDX(DataData):高位数据寄存器。):高位数据寄存器。SPSP(Stack PointerStack Pointer):堆栈指

30、针。):堆栈指针。BPBP(Base PointerBase Pointer):基址指针,用来指示堆栈区域。):基址指针,用来指示堆栈区域。DIDI(Destination IndexDestination Index):目的变址寄存器,与):目的变址寄存器,与DSDS联用。字符串联用。字符串处理中与处理中与ESES联用,隐含为目的操作数地址。联用,隐含为目的操作数地址。SISI(Source IndexSource Index):源变址寄存器,与):源变址寄存器,与DSDS联用,字符串处理联用,字符串处理中与中与 DSDS联用,隐含为源操作数地址。联用,隐含为源操作数地址。(3 3)状态标志

31、寄存器)状态标志寄存器F F 状态标志寄存器如状态标志寄存器如图图1-51-5所示,仅使用其所示,仅使用其9 9位。其中位。其中6 6个是条件个是条件状态标志,状态标志,3 3个是控制状态标志:个是控制状态标志:D15 D14 D13 D12 D11 D10 D9 D8 D7 D6 D5 D4 D3 D2 D1 D0 OF DF IF TF SF ZF AF PF CF 图2.3 状态标志寄存器 图1-5 条件状态标志条件状态标志OFOF(Overflow FlagOverflow Flag):溢出标志,带符号数运算时结果溢出,该位置):溢出标志,带符号数运算时结果溢出,该位置1 1;否则,清

32、;否则,清0 0。SFSF(Sign FlogSign Flog):符号标志,也称为负标志,运算结果为负数时该位):符号标志,也称为负标志,运算结果为负数时该位置置1 1;否则,清;否则,清0 0。ZFZF(Zero FlagZero Flag):零标志,运算结果为零时,该位置):零标志,运算结果为零时,该位置1 1;否则,清;否则,清0 0。CFCF(Carry FlagCarry Flag):进位标志,无符号数运算中加法运算结果的最高):进位标志,无符号数运算中加法运算结果的最高位有进位或者减法运算的最高位有借位时,该位置位有进位或者减法运算的最高位有借位时,该位置1 1;否则,清;否则,

33、清0 0。AFAF(Auxiliary Carry FlagAuxiliary Carry Flag):辅助进位标志,加法运算结果的低半):辅助进位标志,加法运算结果的低半字节向高半字节有进位或者减法运算有借位时,该位置字节向高半字节有进位或者减法运算有借位时,该位置1 1;否则,清;否则,清0 0。PFPF(Parity FlagParity Flag):奇偶标志,结果低字节中):奇偶标志,结果低字节中1 1的个数为偶数时,该的个数为偶数时,该位置位置1 1;否则,清;否则,清0 0;因此,也称为;因此,也称为偶标志偶标志。控制状态标志控制状态标志 DF(Direction Flag):方向

34、标志,控制):方向标志,控制SI和和DI中地址变化的方向。中地址变化的方向。DF置置1时,地址自增;时,地址自增;DF清清0时,地址自减。时,地址自减。IF(Interrupt Flag):中断允许标志,):中断允许标志,IF置置1时时CPU开中断;开中断;IF清清0时时CPU关中断。关中断。TF(Trap Flag):陷阱标志,也称为跟踪标志。):陷阱标志,也称为跟踪标志。TF置置1时时CPU单步执行指单步执行指令,令,TF清清0时时CPU连续工作。连续工作。(4)执行部件控制电路)执行部件控制电路 由总线接口部件的指令队列中获取指令,然后译码,并发相应的控制信号,由总线接口部件的指令队列中

35、获取指令,然后译码,并发相应的控制信号,控制指令的执行。控制指令的执行。2.总线接口部件总线接口部件BIU 总线接口部件由段寄存器、指令指针寄存器、地址加法器、指令队列和输总线接口部件由段寄存器、指令指针寄存器、地址加法器、指令队列和输人输出控制电路组成,用于取指令和数据传送,即访问存储器与数据输入输人输出控制电路组成,用于取指令和数据传送,即访问存储器与数据输入输出。出。(1)段寄存器)段寄存器 段寄存器如段寄存器如图图1-61-6所示,有所示,有4 4个个,即,即CSCS、DSDS、ESES和和SSSS,作用如下,作用如下:CSCS(Code SegmentCode Segment):代码

36、段):代码段寄存器,存放当前程序段地址。寄存器,存放当前程序段地址。DSDS(Data SegmentData Segment):数据段):数据段寄存器,存放当前数据段地址;字寄存器,存放当前数据段地址;字符串处理时隐含为源段地址寄存器符串处理时隐含为源段地址寄存器。SSSS(Stack SegmentStack Segment):堆栈):堆栈段寄存器,存放当前堆栈段地址。段寄存器,存放当前堆栈段地址。IP AL 指令指针 PSWH PSWL 状态标志 控制寄存器 CS 代码段 DS 数据段 ES 堆栈段 SS 附加段 段寄存器 图2.4 控制与段寄存器 ESES(Extra SegmentE

37、xtra Segment):附加段寄存器,存放辅助数据段地址;):附加段寄存器,存放辅助数据段地址;字符串处理时隐含为目的段地址寄存器。字符串处理时隐含为目的段地址寄存器。图1-6(2 2)指令指针)指令指针IP:IP:16 16位,存放代码段中指令的偏移地址,与位,存放代码段中指令的偏移地址,与CSCS联用。联用。(3 3)地址加法器:)地址加法器:2020位,段地址左移位,段地址左移4 4位与偏移地址相加,生成位与偏移地址相加,生成2020位物位物理地址。理地址。(4 4)指令队列与流水线技术)指令队列与流水线技术 80868086指令队列有指令队列有6 6个字节单元,个字节单元,8088

38、8088有有4 4个字节单元,存放预取出的指个字节单元,存放预取出的指令,等候执行。程序运行时,令,等候执行。程序运行时,BIUBIU取指令,送指令队列;取指令,送指令队列;EUEU从指令队列中从指令队列中取指令,译码执行。这样,取指令与执行同时进行,称为流水线技术取指令,译码执行。这样,取指令与执行同时进行,称为流水线技术,可提可提高程序执行的速度。高程序执行的速度。80868086指令队列有指令队列有2 2个空字节单元或个空字节单元或80888088有一个空字节单元时,有一个空字节单元时,BIUBIU自动取指令。自动取指令。程序执行过程中需访问存储器或程序执行过程中需访问存储器或I/OI/

39、O设备时,向设备时,向BIUBIU发请求信号。若发请求信号。若BIUBIU空闲,立即响应,进入总线周期,访问存储器或空闲,立即响应,进入总线周期,访问存储器或I/OI/O设备;若设备;若BIUBIU忙,则忙,则等当前操作之后予以响应。等当前操作之后予以响应。(5 5)输入输出控制电路)输入输出控制电路 在取指令或访问存储器、在取指令或访问存储器、I/OI/O设备时输出地址,发读设备时输出地址,发读/写命令,控写命令,控制取指令和数据的传送。制取指令和数据的传送。在执行转移、调用返回指令时,队列中的指令自动消除,在执行转移、调用返回指令时,队列中的指令自动消除,BIUBIU按转移地址或调用返回地

40、址重新取指令,并送入指令队列。按转移地址或调用返回地址重新取指令,并送入指令队列。存储器按字节编址,存储器按字节编址,80868086有有2020位地址,寻址范围为位地址,寻址范围为1MB1MB。每个字节单元对。每个字节单元对应一个应一个2020位地址,称为物理地址。位地址,称为物理地址。1.1.段段 80868086的地址寄存器的地址寄存器1616位,只能寻址位,只能寻址64 KB64 KB。故把。故把1MB1MB存储器空间分为若存储器空间分为若干个段,每段干个段,每段64 KB64 KB,称为逻辑段。如,称为逻辑段。如图图1-71-7所示。使用时,所有段可在所示。使用时,所有段可在整个存储

41、空间浮动,各段可以连续排列,也可以重叠,如整个存储空间浮动,各段可以连续排列,也可以重叠,如图图1-81-8所示。所示。1.3.2 1.3.2 存储器管理存储器管理 图1-7 存储器分段一 图1-8 存储器分段二 段内各存储单元相对于段起始地址有一个段内各存储单元相对于段起始地址有一个偏移量,也称短内各存储单元相偏移量,也称短内各存储单元相对于段起始地址有一个为偏移地址。只要偏移量与段起始地址确定了,某存储对于段起始地址有一个为偏移地址。只要偏移量与段起始地址确定了,某存储单元也就唯一地确定了。单元也就唯一地确定了。2 2逻辑地址与物理地址逻辑地址与物理地址 编程时,存储器单元地址由段地址和编

42、程时,存储器单元地址由段地址和偏移量表示,二者结合称为逻辑地址。段偏移量表示,二者结合称为逻辑地址。段寄存器寄存器1616位,存放段起始地址的高位,存放段起始地址的高1616位,位,称为段基址,低称为段基址,低4 4位指定为位指定为0000B0000B。在存储器管理中,从在存储器管理中,从0 0地址单元开始地址单元开始,每,每1616个字节为一小段,称为节(个字节为一小段,称为节(ParagraphParagraph)。节起始地址的低)。节起始地址的低4 4位也是位也是0000B0000B,显然段起始地址必须是节起始地,显然段起始地址必须是节起始地址。址。将段基址左移将段基址左移4 4位与偏移

43、地址相加,位与偏移地址相加,即为某一存储器单元的物理地址,也称为即为某一存储器单元的物理地址,也称为绝对地址,如绝对地址,如图图1-91-9所示。所示。物理地址段基址物理地址段基址1616偏移地址偏移地址图1-9 物理地址生成过程80868086设有设有4 4个段寄存器个段寄存器CSCS、DSDS、ESES和和SSSS。若设。若设4 4个段寄个段寄存器中的段基址分别为存器中的段基址分别为1050H1050H、2500H2500H、800FH800FH和和BFFFHBFFFH,则各段在存储器中,则各段在存储器中的分布如的分布如图图1-101-10所示。所示。若设代码段寄存器若设代码段寄存器CSC

44、S1050H1050H指令指针寄存器指令指针寄存器IPIP2200H2200H则指令的物理地址为则指令的物理地址为10500H10500H2200H2200H12700H12700H图1-10 段寄存器举例1.1.引脚信号引脚信号80868086有有4040个个个引脚,采用双列直个引脚,采用双列直80868086有有4040插式封装,引脚信号的分布插式封装,引脚信号的分布如如图图1-111-11所示。所示。80868086引脚信号分为三引脚信号分为三类,即基本引脚信号、最小工作模式类,即基本引脚信号、最小工作模式信号和最大工作模式信号。信号和最大工作模式信号。(1)(1)基本引脚信号基本引脚信

45、号 ADAD15 15 ADAD0 0:地址:地址/数据分共用引数据分共用引脚。在总线周期脚。在总线周期T T1 1状态传送地址,状态传送地址,T T2 2T T4 4状态传送数据。状态传送数据。80868086与主存连接时与主存连接时低低8 8位数据线接存储器偶地址单元,高位数据线接存储器偶地址单元,高8 8位数据线接奇地址单元,由位数据线接奇地址单元,由ADAD0 0和和BHEBHE组合选择,如组合选择,如表表1-11-1所示。所示。图2.9 8086 CPU引脚信号 8086 1 40 2 39 3 38 4 37 5 36 6 35 7 34 8 33 9 8086 32 10 31

46、11 30 12 29 13 28 14 27 15 26 16 25 17 24 18 23 19 22 20 21 GND AD14 AD13 AD12 AD11 AD10 AD9 AD8 AD7 AD6 AD5 AD4 AD3 AD2 AD1 AD0 NMI INTR CLK GND VCC(5V)AD15 A16/S3 A17/S4 A18/S5 A19/S6 BHE/S7 MN/MX RD HOLD(RQ/GT0)HLDA(RQ/GT1)WR (LOCK)M/IO(S2)DT/R(S1)DEN (S0)ALE (QS0)INTA(QS1)TEST READY RESET 1.3.3

47、1.3.3 引脚信号与总线周期引脚信号与总线周期图1-11表表1-1 存储体选择存储体选择BHE A0作作 用用0 0奇偶两字节同时传送奇偶两字节同时传送(AD15AD10)0 1奇地址单元传送一个字节奇地址单元传送一个字节(AD15AD8)1 0偶地址单元传送一个字节偶地址单元传送一个字节(AD7AD0)1 1无操作无操作表表1-2 S4 S3寄存器选择寄存器选择S4 S3寄存器寄存器0 0ES0 1 SS1 0CS1 1DS A A1919/S/S66A A1616/S/S3 3:地址:地址/状状态分时共用引脚。总线周期态分时共用引脚。总线周期T T1 1时输出高时输出高4 4位地址位地址

48、A A1919 A A1616,T T2 2T T4 4时作为状态标志。其中时作为状态标志。其中S S6 6为为0 0时,表示时,表示ADAD15 15 ADAD0 0作为作为数据线。数据线。BHE/S7:T1时为高时为高8位数据允许位数据允许 BHE;其余时间作为状态信号;其余时间作为状态信号S7,但但S7未定义。未定义。NMI:非屏蔽中断请求,输入,上升沿触发。:非屏蔽中断请求,输入,上升沿触发。INTR:可屏蔽中断请求,输入,高电平有效。:可屏蔽中断请求,输入,高电平有效。RD:读命令,输出,:读命令,输出,T2开始低电平有效,启动一次读操作。开始低电平有效,启动一次读操作。CLK:时钟

49、信号,输入,占空比为:时钟信号,输入,占空比为1:3。RESET:输入,高电平有效,需保持:输入,高电平有效,需保持4个时钟周期。复位后个时钟周期。复位后CPU结束当前操作,结束当前操作,IP、DS、SS、ES和指令队列清和指令队列清0,CS置为置为FFFFH。CPU从从FFFF0H单元开始执行程序。单元开始执行程序。READY:准备好,输入,高电平有效,表示主存:准备好,输入,高电平有效,表示主存/外设准备就绪,外设准备就绪,即可读即可读/写数据。若写数据。若READY为低电平,需在为低电平,需在T3之后插入之后插入TW。TEST:测试信号,输入,低电平有效。:测试信号,输入,低电平有效。C

50、PU执行执行WAIT指令后处于空指令后处于空闲等待状态,同时测试闲等待状态,同时测试TEST引脚;当引脚;当TEST为低电平时结束等待,继续执行程为低电平时结束等待,继续执行程序。序。MN/MX:最小:最小/最大工作模式选择信号,输人。最大工作模式选择信号,输人。VCC:+5V电源。电源。GND:地。:地。(2)最小工作模式信号最小工作模式信号INTA INTA :中断响应,输出,:中断响应,输出,T2时有效。连发两个,第一个表示时有效。连发两个,第一个表示CPU中断中断响应,第二个读取中断类型码。响应,第二个读取中断类型码。ALE:地址锁存信号,输出,高电平有效。:地址锁存信号,输出,高电平

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公、行业 > 各类PPT课件(模板)
版权提示 | 免责声明

1,本文(教学课件·微机原理与接口技术.ppt)为本站会员(三亚风情)主动上传,163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。
2,用户下载本文档,所消耗的文币(积分)将全额增加到上传者的账号。
3, 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(发送邮件至3464097650@qq.com或直接QQ联系客服),我们立即给予删除!


侵权处理QQ:3464097650--上传资料QQ:3464097650

【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。


163文库-Www.163Wenku.Com |网站地图|