电工电子实验技术存储器可编程器件数字系统实验课件.ppt

上传人(卖家):晟晟文业 文档编号:4093428 上传时间:2022-11-10 格式:PPT 页数:94 大小:2.89MB
下载 相关 举报
电工电子实验技术存储器可编程器件数字系统实验课件.ppt_第1页
第1页 / 共94页
电工电子实验技术存储器可编程器件数字系统实验课件.ppt_第2页
第2页 / 共94页
电工电子实验技术存储器可编程器件数字系统实验课件.ppt_第3页
第3页 / 共94页
电工电子实验技术存储器可编程器件数字系统实验课件.ppt_第4页
第4页 / 共94页
电工电子实验技术存储器可编程器件数字系统实验课件.ppt_第5页
第5页 / 共94页
点击查看更多>>
资源描述

1、电工电子实验技术电工电子实验技术存储器、可编程器件、数字系统实验存储器、可编程器件、数字系统实验 的讲课课件的讲课课件实验二教学计划与要求实验二教学计划与要求一、教学目的一、教学目的1 1、加深对相应理论课程的理解加深对相应理论课程的理解2 2、掌握常用仪表的使用技术掌握常用仪表的使用技术3 3、学会一定的测量系统设计技术学会一定的测量系统设计技术4 4、学会一定的计算机仿真分析技术学会一定的计算机仿真分析技术5 5、学会一定的测量结果分析技术学会一定的测量结果分析技术6 6、能够利用实验方法完成具体任务能够利用实验方法完成具体任务7 7、培养独立解决问题的能力培养独立解决问题的能力8 8、培

2、养实事求是的科学态度和踏实细致的工作作风培养实事求是的科学态度和踏实细致的工作作风实验二教学计划与要求实验二教学计划与要求二、教学要求二、教学要求1 1、必须作好各次实验的预习、必须作好各次实验的预习2 2、必须自己动手实验,完成各次必做实验、必须自己动手实验,完成各次必做实验3 3、必须掌握常用仪表的用法,学会分析和解决问题、必须掌握常用仪表的用法,学会分析和解决问题的方法,能够进行简单电路的设计与调测。的方法,能够进行简单电路的设计与调测。4 4、必须认真总结实验数据,写好实验报告。按时交、必须认真总结实验数据,写好实验报告。按时交实验报告。实验报告。三、教学计划三、教学计划(三大内容)(

3、三大内容)1 1、EDAEDA与可编程器件、数字系统设计与可编程器件、数字系统设计2 2、模拟电路的设计与调测、模拟电路的设计与调测3 3、综合性实验、综合性实验教学计划大致如下表:教学计划大致如下表:(详细计划请参见详细计划请参见电电工电子实验二授课计划表工电子实验二授课计划表)实验二教学计划与要求实验二教学计划与要求实验二教学计划与要求实验二教学计划与要求四、实验方法四、实验方法1 1、每次实验电路需进行仿真,再硬件实现。、每次实验电路需进行仿真,再硬件实现。(仿真在预习或实验室开放时进行)(仿真在预习或实验室开放时进行)2 2、实验中学会分析问题,排除故障。、实验中学会分析问题,排除故障

4、。3 3、实验前点名,实验后签字。、实验前点名,实验后签字。4 4、未完成的实验可在开放时完成、未完成的实验可在开放时完成。5 5、本学期安排一次测验,本学期安排一次测验,小测内容:小测内容:P183(J2)。)。实验二教学计划与要求实验二教学计划与要求五、期末考试五、期末考试目的:检查教学效果目的:检查教学效果评定学生成绩评定学生成绩促进学生学习促进学生学习方法:开卷、以实现为主方法:开卷、以实现为主试卷:试卷:实现实现5050分、设计分、设计2020分、问答题分、问答题3030分。操作卷与问答试卷分开,分时进分。操作卷与问答试卷分开,分时进行。行。6060分为及格线。分为及格线。本门课程的

5、成绩评定方法:本门课程的成绩评定方法:总分总分100100,由平时,由平时5050分分、期末考试期末考试5050分合成,再折算成优秀、良好、中等、分合成,再折算成优秀、良好、中等、及格、不及格五档。及格、不及格五档。平时平时5050分由预习分由预习1010分、操作分、操作2525分分(平时操作(平时操作1010分、小测分、小测1515分)、实验报分)、实验报告告1515分累加而成。该项成绩由任课教师分累加而成。该项成绩由任课教师根据记录(在记分册上必须有记录)的根据记录(在记分册上必须有记录)的学生平时情况,实事求是地给出。学生平时情况,实事求是地给出。教材使用方法教材使用方法1 1、根据实验

6、教学进度,系统自学前几章理论或知识。、根据实验教学进度,系统自学前几章理论或知识。2 2、接受实验任务后设计电路时看设计提示和实验提、接受实验任务后设计电路时看设计提示和实验提示。示。3 3、在各个实验的提示中大都指明与该实验相关的设、在各个实验的提示中大都指明与该实验相关的设计和测量方法的例子,也可从书后设计索引和测计和测量方法的例子,也可从书后设计索引和测量方法索引中查找。量方法索引中查找。4 4、教材中所举的例子均选自实用电路,不但有设计、教材中所举的例子均选自实用电路,不但有设计方法同时具有新颖的设计构思,供同学学习前人方法同时具有新颖的设计构思,供同学学习前人是如何灵活运用现有条件创

7、造性地解决电路设计是如何灵活运用现有条件创造性地解决电路设计问题的。问题的。教材使用方法教材使用方法使用教材应避免的问题使用教材应避免的问题1 1、部分同学未按要求自学教材中前几章的理论部分,只看、部分同学未按要求自学教材中前几章的理论部分,只看实验内容,导致实验知识残缺,未达到教学要求。开卷考实验内容,导致实验知识残缺,未达到教学要求。开卷考试时书上给出的答案都找不到。试时书上给出的答案都找不到。2 2、未认真体会教材中设计举例所体现的构思方法和技巧,、未认真体会教材中设计举例所体现的构思方法和技巧,不了解电子电路设计的工程和技术性特点。这样做的结果不了解电子电路设计的工程和技术性特点。这样

8、做的结果是仅仅做出实验,而没有做好实验。每做一次设计和实验是仅仅做出实验,而没有做好实验。每做一次设计和实验水平都提高一步才能认为是做好了实验。水平都提高一步才能认为是做好了实验。3 3、实验手册实验手册中较全面地涉及了仪表使用的基本知识点中较全面地涉及了仪表使用的基本知识点和要求,正确地回答仪表练习中的所有问题是对电类工科和要求,正确地回答仪表练习中的所有问题是对电类工科学生的最低要求。学生的最低要求。通知通知n电工电子实验二电工电子实验二授课计划表,授课计划表,n电工电子实验二电工电子实验二上课安排表(各上课安排表(各次大课时间、地点、内容)次大课时间、地点、内容)n请看电工电子实验中心二

9、楼橱窗告示。请看电工电子实验中心二楼橱窗告示。主要授课内容:主要授课内容:二、可编程逻辑器件的应用二、可编程逻辑器件的应用三、数字系统设计三、数字系统设计一、存储器的应用一、存储器的应用一、存储器的应用一、存储器的应用 存储器的学习要点:存储器的学习要点:n半导体存储器概念:半导体存储器概念:存储器是电子计算机及某些数字系统中不存储器是电子计算机及某些数字系统中不可缺少的部分,用来存放二进制代码表示的数可缺少的部分,用来存放二进制代码表示的数据据 系统指令系统指令 资料及运算程序等。资料及运算程序等。n存储器的主要指标:存储器的主要指标:存储容量存储容量 和和 工作速度工作速度存储容量:存储容

10、量:存储容量是衡量工作能力大小的指标存储容量是衡量工作能力大小的指标,容容量越大量越大,存储的信息越多存储的信息越多,工作能力越强。工作能力越强。n存储容量用存储单元的总数表示。习惯上常用存储容量用存储单元的总数表示。习惯上常用若干个若干个“K”单元表示。例如:单元表示。例如:210=1024 称称 1K单元单元,212=4096 称称 4K单元。单元。n存储容量为:存储容量为:n字字(字位)(字位)m位位(位)(位)存取速度存取速度(工作速度工作速度)n存取速度用存取周期表示。存取速度用存取周期表示。从存储器开始存取第一个字到能够存从存储器开始存取第一个字到能够存取第二个字为止。所需的时间称

11、为取第二个字为止。所需的时间称为存取时存取时间间或或存取周期存取周期。它是衡量存储器存取速度。它是衡量存储器存取速度的重要指标。的重要指标。n存取周期越短,说明存取速度越高。存取周期越短,说明存取速度越高。存储器存储器按功能按功能分类:分类:ROM固定固定ROM:内容由厂家制作。内容由厂家制作。ROM可编程可编程ROM:可一次性编程。可一次性编程。PROM可擦除可编程可擦除可编程ROM:可多次改写可多次改写EPROMRAM双极型双极型 RAM单极型单极型(MOS)SRAM(静态)静态)DRAM(动态)动态)SAMMOS移位寄存器移位寄存器电荷耦合器件电荷耦合器件CCD移位寄存器移位寄存器Cac

12、he 高速缓存高速缓存E2PROMUVEPROM实验中所用器件型号为:实验中所用器件型号为:28C64B型型E2PROM(电可改写的电可改写的ROM)n结构:结构:采用浮栅隧道氧化层采用浮栅隧道氧化层MOS管。它有两个栅极:管。它有两个栅极:控制栅控制栅GC 和和 浮置栅浮置栅Gf。n特点:特点:浮置栅与漏区之间有一个氧化层极薄的隧道区,浮置栅与漏区之间有一个氧化层极薄的隧道区,其厚度仅为其厚度仅为1015um,可产生隧道效应。可产生隧道效应。写入、擦写入、擦除:利用隧道效应。除:利用隧道效应。GCGf隧道区隧道区n存储容量为:存储容量为:8K8位位=213 8位;位;n总共有总共有13根地址

13、线:根地址线:A0A12。实验内容:实验内容:新教材新教材P214 T1实验提示:实验提示:F1=1 1 0 0 1 1 0 0F2=1 1 1 1 0 0 0 0F3=1 1 0 1 1 0 1 1F4=1 0 1 1 1 0 0 1实验中所用器件型号为:实验中所用器件型号为:28C64B型型E2PROM(电可改写的电可改写的ROM)F1=1 1 0 0 1 1 0 0 D0F2=1 1 1 1 0 0 0 0 D1F3=1 1 0 1 1 0 1 1 D2F4=1 0 1 1 1 0 0 1 D3数据数据D D77D D0 0:0F 07 0A 0E 0D 01 04 0C0F 07 0A

14、 0E 0D 01 04 0C使用使用8个存储单元,个存储单元,4根数据线。根数据线。0F070A0C8192个存个存储单储单元元选做实验提示:选做实验提示:P214 Y1 用用1个个57(横向(横向5点纵向点纵向7点)点阵点)点阵LED组成一个简易移动字幕。要求字幕上显组成一个简易移动字幕。要求字幕上显示从右向左移动的示从右向左移动的5个字符个字符“HELLO”,字符,字符不停地移动,每不停地移动,每2秒显示一屏。为使显示的秒显示一屏。为使显示的字符便于辩认,应在两个词之间留出一个字字符便于辩认,应在两个词之间留出一个字宽的空格。宽的空格。提示提示1:点阵:点阵57的介绍的介绍(参看实验手册

15、(参看实验手册P103)提示提示2:类似动态扫描的设计原理:类似动态扫描的设计原理输出相当于输出相当于位选信号位选信号字符与字符之字符与字符之间的间隔时间间的间隔时间高位地址高位地址低位地址低位地址显示结果显示结果:提示提示3:数据可放到存储器的任意:数据可放到存储器的任意5个空间个空间飞字飞字:二、可编程逻辑器件的应用二、可编程逻辑器件的应用n1 1、按器件规模划分、按器件规模划分中小规模中小规模GALGAL、PALPAL(几百门上千门)(几百门上千门)大规模大规模CPLD FPGA CPLD FPGA(几千门几百万门)(几千门几百万门)2 2、按可编程次数划分、按可编程次数划分一次性可编程

16、器件(反熔丝型一次性可编程器件(反熔丝型PLD)PLD)优点:可靠性高,价钱便宜,在成熟产品中应用较优点:可靠性高,价钱便宜,在成熟产品中应用较多多缺点:开发成本高。不能更改逻辑缺点:开发成本高。不能更改逻辑可多次编程器件(可多次编程器件(GAL CPLD FPGAGAL CPLD FPGA等等 优点:开发成本低,可以多次编程更改逻辑,可在优点:开发成本低,可以多次编程更改逻辑,可在线编程线编程阵列结构单元(阵列结构单元(GAL CPLD GAL CPLD)管脚对管脚的延时时间可预测,有利于设计管脚对管脚的延时时间可预测,有利于设计触发器等时序单元少触发器等时序单元少乘积项多,常用来设计控制器

17、,并可以加密。乘积项多,常用来设计控制器,并可以加密。单元结构(单元结构(FPGA)FPGA)触发器多,有利于数据处理,不可以加密。触发器多,有利于数据处理,不可以加密。管脚对管脚的延时时间不可预测,在设计中需要管脚对管脚的延时时间不可预测,在设计中需要反复优化才能得到最优的延时特性反复优化才能得到最优的延时特性3、按内部结构特性划分、按内部结构特性划分可编程器件种类可编程器件种类:中小规模可编程器件可编程器件外形图可编程器件外形图可编程器件开发软件可编程器件开发软件:1 1、逻辑描述方式、逻辑描述方式n电路图描述电路图描述n硬件描述语言描述硬件描述语言描述n状态机流程图描述状态机流程图描述2

18、 2、硬件描述语言分类、硬件描述语言分类n国际标准硬件描述语言国际标准硬件描述语言 VHDL(VHDL(军方)、军方)、VerilogVerilog(商业)(商业)n各公司专用硬件描述语言(各公司专用硬件描述语言(ABEL ABEL、CUPL CUPL 等等)可编程器件的开发流程可编程器件的开发流程:输入输入Design Entry编程编程定时分析定时分析FittingTiming Analysis适配适配功能仿真功能仿真SimulationSynthesis综合综合可编程器件的下载方式可编程器件的下载方式1 1、下载(编程、配置)定义:、下载(编程、配置)定义:下载是指将开发软件生成的反映逻

19、辑关系下载是指将开发软件生成的反映逻辑关系的数据,通过一定的方法输入到可编程器的数据,通过一定的方法输入到可编程器件中,并使其具有用户要求的逻辑的操作件中,并使其具有用户要求的逻辑的操作过程,也称编程或数据配置。过程,也称编程或数据配置。2 2、下载方式分类、下载方式分类1 1)用通用(或专用)编程器下载)用通用(或专用)编程器下载例如:例如:GAL GAL 、FPGAFPGA主并模式时的主并模式时的EEPROMEEPROM等可用通用编程器编程。等可用通用编程器编程。反熔丝型可编程器件必须用器件厂配套的反熔丝型可编程器件必须用器件厂配套的编程器编程器通用编程器通用编程器和下载电缆和下载电缆通用

20、编程器通用编程器可编程器件的下载方式可编程器件的下载方式接接计计算算机机并并口口用用下载电缆下载示意图下载电缆下载示意图2、用专用下载电缆下载、用专用下载电缆下载(JTAG标准口)标准口)可编程器件的选用:可编程器件的选用:n考虑内部电路规模大小(以门为计量单位)考虑内部电路规模大小(以门为计量单位)n考虑速度(最高使用频率)考虑速度(最高使用频率)n考虑下载方式需要考虑下载方式需要n考虑器件延时的确定特性(考虑器件延时的确定特性(CPLD 与与FPGA的区别)的区别)n开发软件的性能(仿真的准确度、对描述方开发软件的性能(仿真的准确度、对描述方式的支持、对后续服务的支持)式的支持、对后续服务

21、的支持)n器件生命周期器件生命周期n器件提供厂商的发展(市场份额)器件提供厂商的发展(市场份额)“可编程器件可编程器件 GAL的应用的应用”提提示示1、实验四十三、实验四十三 任务更改说明任务更改说明nP215n将将“7比特延迟比特延迟”改为改为“6比特延迟比特延迟”。n将将“7比特延迟比特延迟”作为选做内容。作为选做内容。“实验四十七实验四十七 GAL的应用的应用”提示提示2、下载时注意事项、下载时注意事项1)必须看清器件的型号,正确选择编程软件界面中)必须看清器件的型号,正确选择编程软件界面中的厂家和型号。的厂家和型号。实验器材中有多个厂家的实验器材中有多个厂家的GAL器件,各个厂家的器器

22、件,各个厂家的器件逻辑上是兼容的,但是,编程电压和编程方式不同,件逻辑上是兼容的,但是,编程电压和编程方式不同,有的厂家编程电压是有的厂家编程电压是25V,有的是有的是12V。同一厂家如果型号不同,编程要求也不同,同一厂同一厂家如果型号不同,编程要求也不同,同一厂家的家的GAL16V8A与与GAL16V8B编程条件就不一样编程条件就不一样2)编程时器件的位置必须摆放正确)编程时器件的位置必须摆放正确注意缺口方向注意缺口方向注意器件与编程器插座的连接要求注意器件与编程器插座的连接要求通用阵列逻辑通用阵列逻辑 GALGAL一、一、GAL16V8GAL16V8总体结构总体结构2020个引脚的器件;个

23、引脚的器件;8个专用输入端;个专用输入端;8个个I/O端;端;1个时钟输入端个时钟输入端CLK;1个使能个使能OE。输出逻辑宏单元(输出逻辑宏单元(OLMC)GAL具有五种工作模式:具有五种工作模式:(a)(a)专用输入模式专用输入模式1ENEN 1CLKCLKNCNCNCNCOEOENCNCNCNC来自邻级来自邻级输出输出(m)(m)至另一个邻级至另一个邻级CLKCLKOEOE(b)专用组合输出模式专用组合输出模式1ENEN 1CLKCLKNCNCOEOENCNC=11 VccVccXOR(n)XOR(n)NCNCNCNCNCNCCLKCLKOEOE(c)反馈组合输出模式)反馈组合输出模式1

24、ENEN 1CLKCLKNCNCOEOENCNC=11 XOR(n)XOR(n)NCNCCLKCLKNCNCOEOE来自邻级来自邻级输出(输出(m m)OLMC(n)OLMC(n)I/O(n)I/O(n)NCNC来自来自与阵与阵列列反反馈馈(d)时序电路中的组合输出模式)时序电路中的组合输出模式1ENEN 1CLKCLKOEOE=11 XORXOR(n n)CLKCLKOEOE来自邻级来自邻级输出输出(m)(m)I/O(n)I/O(n)NCNC来自来自与阵与阵列列反反馈馈(e)寄存器输出模式寄存器输出模式1ENEN 1CLKCLKOEOE=11 XOR(n)XOR(n)CLKCLKOEOE来自

25、邻级来自邻级输出(输出(m m)I/O(n)I/O(n)NCNC来自来自与阵与阵列列反反馈馈OLMC(n)OLMC(n)QDQ举例:设计一个举例:设计一个3BIT延时电路延时电路1、建立电路模型、建立电路模型 采用移位寄存器采用移位寄存器+数据选择器;数据选择器;2、管脚定义、管脚定义3、编写程序、编写程序NAME M01;(文件名);(文件名)PARTNO ;(部件编号);(部件编号)REV V1.0;(用户设计文件的版本号);(用户设计文件的版本号)DATE 01/03/04;(设计日期);(设计日期)DESIGNER LUQINGLI;(设计者姓名);(设计者姓名)COMPANY ;(设

26、计者所在公司名称)(设计者所在公司名称)ASSEMBLY ;(装配标记)(装配标记)LOCATION ;(器件位置编号)(器件位置编号)/*input pin */PIN 1,2,3,4 =CLK,DX,K1,K2 ;PIN 9,11 =CLR,OE/*output pin */PIN 19,18,17,16 =Q1,Q2,Q3,DY ;/*REG */Q1.D=CLR&DX;Q2.D=CLR&Q1;Q3.D=CLR&Q2;/*MUX4 */DY=!K2&!K1&DX#!K2&K1&Q1#K2&!K1&Q2#K2&K1&Q3;/*END*/注意:清零信号的编写注意:清零信号的编写三、数字系统设

27、计三、数字系统设计小型数字系统学习要点:小型数字系统学习要点:1、透彻理解数字系统的含义、透彻理解数字系统的含义 按一定方式联系起来的一群事物所构成的按一定方式联系起来的一群事物所构成的总体,称为总体,称为系统系统。能够对数字信息进行传递,加。能够对数字信息进行传递,加工,处理的电子设备,称为工,处理的电子设备,称为数字系统数字系统。系统与部件的系统与部件的区别区别:凡是包含控制器凡是包含控制器又能按程序进行操作的系又能按程序进行操作的系统,不论其规模大小,均称为统,不论其规模大小,均称为数字系统数字系统。没有控制器没有控制器,且又不能按程序进行操作的,且又不能按程序进行操作的电路,不管其规模

28、大小(如:大容量存储器),电路,不管其规模大小(如:大容量存储器),均不能称为系统,只能算一个均不能称为系统,只能算一个部件部件。数字系统数字系统由数据处理器和控制器构成:由数据处理器和控制器构成:数据处理器的数据处理器的主要任务:主要任务:传送数据,并对数据进行运算和判断。传送数据,并对数据进行运算和判断。控制器的控制器的主要任务:主要任务:保证数据处理器有条不紊地按正确的时序进行保证数据处理器有条不紊地按正确的时序进行数据处理和加工。数据处理和加工。控制器有统一的模型,设计方法规范。控制器有统一的模型,设计方法规范。数据处理器因处理数据形式繁多,没有统一模数据处理器因处理数据形式繁多,没有

29、统一模型,通常采用列明细表的方式来进行设计。型,通常采用列明细表的方式来进行设计。同步时序电路同步时序电路2 2、透彻理解、透彻理解数字系统的一般模型数字系统的一般模型数字系统的一般模型数字系统的一般模型 1)输入接口:完成信号转换、同步化处理等输入接口:完成信号转换、同步化处理等;2)输出接口:输出整个系统的各类信号输出接口:输出整个系统的各类信号;3)数据处理器;数据处理器;4)控制器控制器(1)数据处理器的构成:)数据处理器的构成:组合网络、寄存器组和控制网络组合网络、寄存器组和控制网络数据处理器模型数据处理器模型(2)明细表()明细表(操作表操作表和和状态变量表状态变量表)数据处理器的

30、描述采用明细表来描述它的具体操数据处理器的描述采用明细表来描述它的具体操作过程,也就是把一个时钟期间能同时实现的操作归作过程,也就是把一个时钟期间能同时实现的操作归并在一起,作为一个操作步骤,再用助记符号表示控并在一起,作为一个操作步骤,再用助记符号表示控制信号。制信号。(3)控制器的构成。)控制器的构成。1)它的模型分为:)它的模型分为:moore型和型和mealy型两种。型两种。2)它以状态转移表为设计依据。常用的设计方法)它以状态转移表为设计依据。常用的设计方法有:用每态一位触发器的方法;用数据选择器、时有:用每态一位触发器的方法;用数据选择器、时序寄存器、译码器的方法。序寄存器、译码器

31、的方法。3、数字系统的描述工具、数字系统的描述工具1)方框图;)方框图;2)算法流程图)算法流程图;3)算法状态机()算法状态机(ASM)图;)图;4、算法流程图与、算法流程图与ASM图的区别图的区别算法流程图:算法流程图:一般用来表示算法,它只一般用来表示算法,它只是按照算法的先后顺序排列计算步骤,是按照算法的先后顺序排列计算步骤,与电路的时序无对应关系,不能作为设与电路的时序无对应关系,不能作为设计的依据。计的依据。ASM图:图:则与时序有关,是设计控制器则与时序有关,是设计控制器和处理器的依据。和处理器的依据。5、ASM图符号图符号 状态框、判断框状态框、判断框、条件框、条件框 6、AS

32、M块块1)必定包含一个状态框;)必定包含一个状态框;2)表示一个时钟周期内系统的状态;)表示一个时钟周期内系统的状态;3)ASM图类似于状态图。图类似于状态图。7、各种逻辑框之间的时间关系、各种逻辑框之间的时间关系 是指状态之间的转换时间关系必是指状态之间的转换时间关系必须在一个周期内完成。须在一个周期内完成。AA+1T1T20100 1001ER0FT4100T30110 1CPT1(现态现态)(次态)(次态)T2或或T3或或T4当第二个当第二个CP到来时,到来时,T1第一个第一个CP使控制器转到使控制器转到T1,在,在T1状态下完成状态下完成:1)AA+1;2)检验输入变量检验输入变量E和

33、和F,产生控制输出。产生控制输出。T2T3T48、ASM图的建立图的建立原则原则1:在算法的起始点安排一个状态;在算法的起始点安排一个状态;原则原则2:必须用状态来分开不能同时实现的必须用状态来分开不能同时实现的寄存器传输操作;寄存器传输操作;原则原则3:判断如果受寄存器操作的影响,应判断如果受寄存器操作的影响,应在它们之间安排一个状态。在它们之间安排一个状态。从算法流程图从算法流程图 ASM图图解释:解释:(1)原则)原则2图图12.3.15 算法流程图和算法流程图和ASM图的对应关系图的对应关系(a)算法流程图算法流程图(b)ASM图图(2)原则)原则3 由算法流程图转换为由算法流程图转换

34、为ASM图时,判断图时,判断 A+1之之后的值,需要插入一个方框。后的值,需要插入一个方框。图图12.3.16 算法流程图和算法流程图和ASM图的对应关系图的对应关系实验四十五实验四十五 小型数字系统(二)小型数字系统(二)实验四十五实验四十五 任务更改说明任务更改说明nP219 T1n左右灯改为各一个,不循环。(为必做)左右灯改为各一个,不循环。(为必做)n左右灯各为三个时,按左右灯各为三个时,按100,110,111,000,循环(为选做)。循环(为选做)。题目:题目:某型号汽车尾灯控制电路某型号汽车尾灯控制电路设计要求设计要求:(必做)(必做)(1 1)空闲情况下尾灯均灭。)空闲情况下尾

35、灯均灭。(2 2)按左转键时,)按左转键时,L=1L=1,Z Z左车灯亮。左车灯亮。L=0L=0后后,左尾灯全部左尾灯全部熄灭。熄灭。(3 3)按右转键时,)按右转键时,R=1R=1,Y Y右车灯亮。右车灯亮。R=0R=0后后,右尾灯全部右尾灯全部熄灭。熄灭。(4 4)按警告键后,)按警告键后,JG=1JG=1,左车灯和右车灯全部均变为跳,左车灯和右车灯全部均变为跳灯,即:闪烁。灯,即:闪烁。JG=0JG=0停止闪烁。同时停止闪烁。同时警告信号优先权最高。警告信号优先权最高。说明:汽车的手动键有三档即:左转、右转和空闲。其中,说明:汽车的手动键有三档即:左转、右转和空闲。其中,空闲键为空档,用

36、机械手段实现,所以设计电路时不必考空闲键为空档,用机械手段实现,所以设计电路时不必考虑空闲键的存在。虑空闲键的存在。题目:某型号汽车尾灯控制电路某型号汽车尾灯控制电路设计要求:设计要求:(1 1)空闲情况下尾灯均灭。)空闲情况下尾灯均灭。(2 2)按左转键时,)按左转键时,L=1L=1,Z Z1 1Z Z2 2Z Z3 3左车灯按照左车灯按照Z Z1 1Z Z2 2Z Z3 3=100=100,110110,111111,000000方式循环,方式循环,ZZ=0ZZ=0后后,左左尾灯全部熄灭。尾灯全部熄灭。(3 3)按右转键时,)按右转键时,R=1R=1,Y Y1 1Y Y2 2Y Y3 3右

37、车灯按照右车灯按照Y Y1 1Y Y2 2Y Y3 3=100=100,110110,111111,000000方式循环,方式循环,ZZ=0ZZ=0后后,左左尾灯全部熄灭。尾灯全部熄灭。(4 4)按警告键后,)按警告键后,JG=1JG=1,左车灯和右车灯全部均变为跳,左车灯和右车灯全部均变为跳灯,即:闪烁。灯,即:闪烁。JG=0停止闪烁。同时警告信号优先权最停止闪烁。同时警告信号优先权最高。高。选做:选做:补充知识:补充知识:1、汽车尾灯的知识介绍、汽车尾灯的知识介绍补充知识补充知识:(奔驰车)(奔驰车)2、汽车尾灯的操动杆原理、汽车尾灯的操动杆原理流程图:流程图:设计方案有二种设计方案有二种

38、:第一种方案:第一种方案:设计要求设计要求:(必做)(必做)(1)空闲情况下尾灯均灭。)空闲情况下尾灯均灭。(2)按左转键时,)按左转键时,L=1,Z左车灯亮。左车灯亮。L=0后后,左尾灯全左尾灯全部熄灭。部熄灭。(3)按右转键时,)按右转键时,R=1,Y右车灯亮。右车灯亮。R=0后后,右尾灯全右尾灯全部熄灭。部熄灭。(4)按警告键后,)按警告键后,JG=1,左车灯和右车灯全部均变为跳左车灯和右车灯全部均变为跳灯,即:闪烁。灯,即:闪烁。JG=0停止闪停止闪烁。同时警告信号优先权最高。烁。同时警告信号优先权最高。第二种方案:第二种方案:系统结构图:系统结构图:ASM图:图:ASM图:图:求解激励方程求解激励方程:输出方程输出方程:选做方案:选做方案:ASM图:图:010001011000100101111110汽车尾灯的状态转移表汽车尾灯的状态转移表:电路图省略电路图省略8、书写程序(、书写程序(提示提示1)Q0.D=!CLR#(CLR&(Q0&!C#Q0&!E#Q3&F);Q1.D=CLR&(Q0&C&E#Q1&!F);Q2.D=CLR&(Q1&F#Q2&C&!E);Q3.D=CLR&(Q2&E#Q2&!C#Q3&!F);

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公、行业 > 各类PPT课件(模板)
版权提示 | 免责声明

1,本文(电工电子实验技术存储器可编程器件数字系统实验课件.ppt)为本站会员(晟晟文业)主动上传,163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。
2,用户下载本文档,所消耗的文币(积分)将全额增加到上传者的账号。
3, 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(发送邮件至3464097650@qq.com或直接QQ联系客服),我们立即给予删除!


侵权处理QQ:3464097650--上传资料QQ:3464097650

【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。


163文库-Www.163Wenku.Com |网站地图|