J《电工电子技术基础》电子教案电工电子技术课件第.ppt

上传人(卖家):三亚风情 文档编号:2635806 上传时间:2022-05-13 格式:PPT 页数:94 大小:5.59MB
下载 相关 举报
J《电工电子技术基础》电子教案电工电子技术课件第.ppt_第1页
第1页 / 共94页
J《电工电子技术基础》电子教案电工电子技术课件第.ppt_第2页
第2页 / 共94页
J《电工电子技术基础》电子教案电工电子技术课件第.ppt_第3页
第3页 / 共94页
J《电工电子技术基础》电子教案电工电子技术课件第.ppt_第4页
第4页 / 共94页
J《电工电子技术基础》电子教案电工电子技术课件第.ppt_第5页
第5页 / 共94页
点击查看更多>>
资源描述

1、第二篇第二篇第二篇第二篇第第2页页10.1.1 模拟电路与数字电路的区别模拟电路与数字电路的区别模拟信号:模拟信号:在时间上和在时间上和数值上连续的信号。数值上连续的信号。在时间上和在时间上和数值上不连续的(即离数值上不连续的(即离散的)信号。散的)信号。uu模拟信号波形模拟信号波形数字信号波形数字信号波形tt对模拟信号进行传输、对模拟信号进行传输、处理的电子线路称为处理的电子线路称为模拟电路。模拟电路。对数字信号进行传输、对数字信号进行传输、处理的电子线路称为处理的电子线路称为数字电路。数字电路。第第2页页(1 1)工作信号是二进制的数字信号,在时)工作信号是二进制的数字信号,在时间上和数值

2、上是离散的(不连续),反映间上和数值上是离散的(不连续),反映在电路上就是低电平和高电平两种状态在电路上就是低电平和高电平两种状态(即(即0 0和和1 1两个逻辑值)。两个逻辑值)。(2 2)在数字电路中,研究的主要问题是电)在数字电路中,研究的主要问题是电路的逻辑功能,即输入信号的状态和输出路的逻辑功能,即输入信号的状态和输出信号的状态之间的逻辑关系。信号的状态之间的逻辑关系。 (3 3)对组成数字电路的元器件的精度要求)对组成数字电路的元器件的精度要求不高,只要在工作时能够可靠地区分不高,只要在工作时能够可靠地区分0 0和和1 1两种状态即可。两种状态即可。第第2页页(1)便于集成与系列化

3、生产,成本低廉,使用方便;)便于集成与系列化生产,成本低廉,使用方便;(2)工作准确可靠,精度高,搞干扰能力强。)工作准确可靠,精度高,搞干扰能力强。 (3)不仅能完成数值计算,还能完成逻辑运算和)不仅能完成数值计算,还能完成逻辑运算和 判断,运算速度快,保密性强。判断,运算速度快,保密性强。(4)维修方便,故障的识别和判断较为容易。)维修方便,故障的识别和判断较为容易。 数字电路的优越性能使其得到广泛的应用和迅猛数字电路的优越性能使其得到广泛的应用和迅猛的发展。数字电路不仅在计算机、通信技术中应用广的发展。数字电路不仅在计算机、通信技术中应用广泛,而且在医疗、检测、控制、自动化生产线以及人泛

4、,而且在医疗、检测、控制、自动化生产线以及人们的日常生活中,也都产生了越来越深刻的影响。们的日常生活中,也都产生了越来越深刻的影响。第第2页页利用半导体开关元利用半导体开关元件(二极管、三极管)的导通、截止(即开、关)两件(二极管、三极管)的导通、截止(即开、关)两种工作状态来实现。种工作状态来实现。 电子电路中通常把高电平表示为电子电路中通常把高电平表示为逻辑逻辑1;把低电平表示为;把低电平表示为逻辑逻辑0。(正逻辑)。(正逻辑)用以实现基本和常用逻辑运算用以实现基本和常用逻辑运算的电子电路。简称门电路。的电子电路。简称门电路。基本和常用门电路有基本和常用门电路有与与门、门、或或门、门、非非

5、门(反相门(反相器)、器)、与非与非门、门、或非或非门、门、与或非门与或非门和和异或异或门等。门等。第第2页页 当决定某事件的全部条件同时具备时,结果才会发生,这种因当决定某事件的全部条件同时具备时,结果才会发生,这种因果关系叫做果关系叫做“与与”逻辑逻辑,也称为,也称为逻辑乘逻辑乘。(1 1) “ “与与”逻辑关逻辑关系系 +UCC(+5V) R F D1 A D2 B 3V 0V 第第2页页 A B F & 真值表真值表门电路图符号门电路图符号 一个一个门的输入门的输入端至少为两个,输出端只端至少为两个,输出端只有一个。有一个。(2)实现与逻辑关系的电路称为)实现与逻辑关系的电路称为。第第

6、2页页111 001 010 000 与门的输入端可以有多个。下图为一个三输入与门与门的输入端可以有多个。下图为一个三输入与门电路的输入信号电路的输入信号A、B、C和输出信号和输出信号F的波形图。的波形图。A BCF有有0出出0有有0出出0全全1出出1第第2页页 当某事件发生的全部条件中至少有一个条件满足时,事件必然当某事件发生的全部条件中至少有一个条件满足时,事件必然发生,当全部条件都不满足时,事件决不会发生,这种因果关系叫发生,当全部条件都不满足时,事件决不会发生,这种因果关系叫做做“或或”逻辑逻辑,也称为,也称为逻辑加逻辑加。(1 1) “ “或或”逻辑关逻辑关系系 D1 3V 0V D

7、2 A B F R 第第2页页 (2)实现或逻辑关系的电路称为实现或逻辑关系的电路称为或门或门。 A B F 1 真值表真值表门电路图符号门电路图符号 一个一个门的输入门的输入端也是至少两个,输出端端也是至少两个,输出端只有一个。只有一个。第第2页页 或门的输入端也可以有多个。下图为一个三输入或或门的输入端也可以有多个。下图为一个三输入或门电路的输入信号门电路的输入信号A、B、C和输出信号和输出信号F的波形图。的波形图。A BCF全全0出出0全全0出出0有有1出出1第第2页页 当某事件相关的条件不满足时,事件必然发生;当条件满足时,当某事件相关的条件不满足时,事件必然发生;当条件满足时,事件决

8、不会发生,这种因果关系叫做事件决不会发生,这种因果关系叫做“非非”逻辑逻辑。(1 1) “ “非非”逻辑关逻辑关系系 A +UCC F 原理原理电路图电路图 1 逻辑图符号逻辑图符号 A F RC RB1 RB2 -UBB T 输入输入A为高电平为高电平1(3V)时,三极管饱和导通,时,三极管饱和导通,输出输出F为低电平为低电平0(0V);输入;输入A为低电为低电平平0(0V)时,三极管时,三极管截止,输出截止,输出F为高电为高电平平1(3V)。第第2页页逻辑非(逻辑反)的运算规则逻辑非(逻辑反)的运算规则01 10真值表真值表 一个一个门的输入门的输入端端,输出端只有一,输出端只有一个。个。

9、第第2页页将与门、或门、非门组合起来,可以构成多种复合门电路。将与门、或门、非门组合起来,可以构成多种复合门电路。 A B & F (b) 逻辑符号逻辑符号 A B F & 1 (a) 与非门的构成与非门的构成 ABF 由与门和非门构成与非门由与门和非门构成与非门A BF0 00 11 01 11110与非门真值表与非门真值表第第2页页 (a) 74LS00 的的引引脚脚排排列列图图 电源 1 2 3 4 5 6 7 & & & & 14 13 12 11 10 9 8 地 (b) 74LS20 的的引引脚脚排排列列图图 & & 1 2 3 4 5 6 7 14 13 12 11 10 9 8

10、 电源 地 内含内含4个两输入端的与非门,个两输入端的与非门,电源线及地线公用。电源线及地线公用。内含两个内含两个4输入端的与非门,输入端的与非门,电源线及地线公用。电源线及地线公用。第第2页页 A B 1 F (b) 逻辑符号逻辑符号 A B F 1 1 (a) 或或非门的构成非门的构成 BAF由或门和非门构成由或门和非门构成或非门真值表或非门真值表第第2页页CDABF C D 1 F (b) 逻辑符号逻辑符号 A B F & 1 (a) 或或非门的构成非门的构成 & & & 1 A B C D 第第2页页 A B =1 F 同同或或门门的的图图符符号号 A B F =1 异异或或门门的的图

11、图符符号号 BABABAF异或门和同或门的异或门和同或门的异或门真值表异或门真值表同或门真值表同或门真值表BABAF第第2页页R5R1+UccR2R3AFT1T2T3T5BCR4T4F1ABCF1+UccR1等效电路等效电路输出级中输出级中T3、T4复合管电路构成达林顿电路,与电阻复合管电路构成达林顿电路,与电阻R5作为作为T5的的负载,不仅可降低电路的输出电阻,提高其负载能力,还可改善负载,不仅可降低电路的输出电阻,提高其负载能力,还可改善门电路输出波形,提高工作速度。门电路输出波形,提高工作速度。输入级输入级输入级等效电路输入级等效电路显然显然F1=ABC 相当与门。相当与门。中间级中间级

12、 中间级也称倒相级,中间级也称倒相级,即在即在T2的集电级和发射级的集电级和发射级同时输出两个相位相反的同时输出两个相位相反的信号。信号。推拉式输出级推拉式输出级第第2页页R5R1+UccR2R3AFT1T2T3T5BCR4T4F1输入信号中至少输入信号中至少有一个为低电平有一个为低电平(0.3V)时,低)时,低电平所对应的电平所对应的PN结导通,结导通,T1的基极电位被固的基极电位被固定在定在1V(0.3+0.7)。)。1V 输入端只要有一个为低电平,输入端只要有一个为低电平,T1基极电位就会固定在基极电位就会固定在1V ,导致,导致T1深度饱和,深度饱和,F1电位为低电平电位为低电平0.3

13、V。T2、T5 截止;截止;0.3V截止截止截止截止饱和饱和饱和饱和V6 . 37 . 07 . 05BE4BE32B3CCFUURIUUT3、T4饱和导通(通过饱和导通(通过Ucc,R2););TTL与非门的输出电位为:与非门的输出电位为:第第2页页R5R1+UccR2R3AFT1T2T3T5BCR4T4F1输入信号全部为高输入信号全部为高电平(电平(3.6V)时,)时,电源电源UCC经经R1、T1集集电结向电结向T2、T5基极基极提供电流,提供电流,T2、T5发射结导通后,发射结导通后,T1基极电位被钳位在基极电位被钳位在2.1V。0.7+0.7+0.7=2.1V2.1V 输入端全部为高电

14、平时,输入端全部为高电平时,T1基极电位就会钳位在基极电位就会钳位在2.1V ,使,使T1输出电输出电位位F1为为1.4V,T1处于倒置工作状态(即发射结反偏,集电结正偏)。处于倒置工作状态(即发射结反偏,集电结正偏)。0.7V截止截止微导通微导通0.7V0.7VV3 . 0T5FUUT1在此状态下在此状态下值较小,因此值较小,因此T2、T5饱和,饱和,T3微导通,微导通,T4截止;截止;TTL与非门的输出电位等于与非门的输出电位等于T5的饱和电位值:的饱和电位值:0V1.4V饱和饱和饱和饱和第第2页页CBAF&ABCF第第2页页 实际使用中,若将两个或多个逻辑门的输出端直实际使用中,若将两个

15、或多个逻辑门的输出端直接与总线相连,就会得到附加的接与总线相连,就会得到附加的“”逻辑功能。逻辑功能。 上面讲到的普通上面讲到的普通TTL与非门,由于采用了推与非门,由于采用了推拉式输出电路,因此其输出电阻很低,使用时输拉式输出电路,因此其输出电阻很低,使用时输出端不能长久接地或与电源短接。因此不能直接出端不能长久接地或与电源短接。因此不能直接让输出端与总线相连,即不允许直接进行上述让输出端与总线相连,即不允许直接进行上述“线与线与”。FR5UccT3T5T4R5UccT3T5T4G1G2线与线与 多个普通多个普通TTL与非门电路的输出端也不能连与非门电路的输出端也不能连接在一起后上总线。因为

16、,当它们的输出端连接接在一起后上总线。因为,当它们的输出端连接在一起上到总线上,只要有一个与非门的输出为在一起上到总线上,只要有一个与非门的输出为高电平时,这个高电平输出端就会直接与其它低高电平时,这个高电平输出端就会直接与其它低电平输出端连通而形成通路,总线上就会有一个电平输出端连通而形成通路,总线上就会有一个很大的电流很大的电流Ic由高电平输出端经总线流向低电平由高电平输出端经总线流向低电平输出端的门电路,该门电路将因功耗过大而极易输出端的门电路,该门电路将因功耗过大而极易烧毁。烧毁。第第2页页集电极开路,如左下图所示,称为集电极开路,如左下图所示,称为的的 与非门,简称与非门,简称。R1

17、UccR2R3AFT1T2T5BC OC门在结构上将一般门在结构上将一般TTL门输门输出级的有源负载部分(如普通出级的有源负载部分(如普通TTL与非门中的与非门中的T3、T4、R4)去除)去除,输出输出级晶体管级晶体管T5的集电极在集成电路内的集电极在集成电路内部不连接任何元件,直接作为输出部不连接任何元件,直接作为输出端(集电极开路)。端(集电极开路)。 OC门在使用时,应根据负载的大小和门在使用时,应根据负载的大小和要求,合理选择外接电阻要求,合理选择外接电阻RC的数值,并将的数值,并将RC和电源和电源UCC连接在连接在OC门的输出端。门的输出端。 RCRcUccF&总线总线 OC门不但可

18、以实现门不但可以实现;还;还可以可以第第2页页R5R1+UccR2R3AFT1T2T3T5BR4T4F1三态门具有三种输出状态:三态门具有三种输出状态:和和。END1D2R电路分析:电路分析: 当当EN= 1 时,二极管时,二极管D2截截止,此时三态门是普通的与止,此时三态门是普通的与非门电路;非门电路;F = AB; 当当EN= 0时(有效状态),时(有效状态),T1饱和,饱和,T2、T4截止,同时截止,同时D1导通使导通使T3、T5也截止。这时从也截止。这时从外往输入端看进去,电路呈现外往输入端看进去,电路呈现高阻态;高阻态; 因为三态门在因为三态门在EN=1时为普通时为普通与非门,有高、

19、低电平两种状态,与非门,有高、低电平两种状态,在在EN=0时为高阻态,共有三种状时为高阻态,共有三种状态,因此称为三态门。态,因此称为三态门。三态门的逻辑符号如下:三态门的逻辑符号如下:ABE/DF第第2页页 三态门主要用于总线结构,三态门主要用于总线结构,实现用一根导线轮流传送多路数实现用一根导线轮流传送多路数据。通常把用于传输多个门输出据。通常把用于传输多个门输出信号的导线叫做总线(母线)。信号的导线叫做总线(母线)。如下图所示。只要控制端轮流地如下图所示。只要控制端轮流地出现高电平(每一时刻只允许一出现高电平(每一时刻只允许一个门正常工作),总线上就轮流个门正常工作),总线上就轮流送出各

20、个与非门的输出信号,由送出各个与非门的输出信号,由此可省去大量的机内连线。此可省去大量的机内连线。D1E/D1&END2E/D2&ENDnE/Dn&EN第第2页页工作管工作管T1为为N沟道增强型沟道增强型MOS管,负载管管,负载管T2为为P沟道增强型沟道增强型MOS管,两管的漏极接在一起作为电路的输出端,两管的栅极接在一起作管,两管的漏极接在一起作为电路的输出端,两管的栅极接在一起作为电路的输入端,为电路的输入端,T1、T2源极与其衬底相连,一个接地,一个接电源源极与其衬底相连,一个接地,一个接电源uiUDDT1T2u0NMOS管管PMOS管管 如果要使电路中的绝缘栅型场效应管形如果要使电路中

21、的绝缘栅型场效应管形成导电沟道,成导电沟道,T T1 1的栅源电压必须大于开启电的栅源电压必须大于开启电压的值,压的值,T T2 2的栅源电压必须低于开启电压的的栅源电压必须低于开启电压的值,所以,为使电路正常工作,电源电压值,所以,为使电路正常工作,电源电压U UDDDD必须大于两管开启电压的绝对值之和必须大于两管开启电压的绝对值之和。(1)ui0V时,时,T1截止,截止,T2导通。输出导通。输出 电压电压u0UDD;(2)uiUDD时,时,T1导通,导通,T2截止。输出截止。输出 电压电压u00V。第第2页页CPuiUDDu0TNTP 设高电平为设高电平为10V,低电平为,低电平为0V,电

22、源电压为电源电压为10V。开启电压为。开启电压为3V。在在CP“1”,若输入电压为,若输入电压为0V7V,则,则TN的栅源电压不低于的栅源电压不低于3V,因,因此此TN管导通;若输入电压为管导通;若输入电压为3V10V,同理,同理,TP管导通,即在输入电压为管导通,即在输入电压为0V10V的范围内,至少有一个管子的范围内,至少有一个管子是导通的。输入电压可以传送到输出是导通的。输入电压可以传送到输出端。此时传输门相当于接通的开关。端。此时传输门相当于接通的开关。当当CP“0”, 无论输入电压在无论输入电压在0 V10V之间如何变化,栅极和源之间如何变化,栅极和源极之间的电压无法满足管子导通沟道

23、产生的条件,所以两个管子都截极之间的电压无法满足管子导通沟道产生的条件,所以两个管子都截止,输入电压无法传送到输出端。此时传输门相当于断开的开关。止,输入电压无法传送到输出端。此时传输门相当于断开的开关。 当传输门的控制信号由一个非门的输入和输出来提供时,就构成当传输门的控制信号由一个非门的输入和输出来提供时,就构成一个模拟开关,其电路和原理不再叙述。一个模拟开关,其电路和原理不再叙述。 第第2页页讨论题讨论题 F=ABC是三输入的是三输入的与门;与门;G是是非门非门。 TTL门的逻辑高电平约为门的逻辑高电平约为3.6V;低电;低电平约为平约为0.3V。CMOSCMOS门的逻辑高电平约门的逻辑

24、高电平约为为510V, ,低电平约为低电平约为00.4V. .使用时特使用时特别要注意别要注意CMOSCMOS门芯片不用的输入端不门芯片不用的输入端不能悬空!其他注意事项可参看课本。能悬空!其他注意事项可参看课本。TTL门和门和CMOS门的逻门的逻辑高电平和逻辑低电平辑高电平和逻辑低电平大约为多少?使用时两大约为多少?使用时两类门各要注意些什么?类门各要注意些什么?两个两个TTLTTL与非门的输与非门的输出端可以直接连接出端可以直接连接吗?为什么?吗?为什么?三态门与普通三态门与普通TTL与非门相比有什么与非门相比有什么不同?三态门主要不同?三态门主要应用于什么场合?应用于什么场合?逻辑函数逻

25、辑函数F=ABCF=ABC和和G=AG=A各为各为何门?画出它们的逻辑图何门?画出它们的逻辑图符号和写出其真值表符号和写出其真值表. . A F & B C 普通与非门只有高电平和低电普通与非门只有高电平和低电平两种状态,三态门除了这两种平两种状态,三态门除了这两种状态还有高阻态状态还有高阻态。三态门主要应。三态门主要应用于总线传送,它可进行单向数用于总线传送,它可进行单向数据传送,也可以进行双向数据传据传送,也可以进行双向数据传送。送。第第2页页第第2页页 计数制是用表示计数值符号的个数(称为基数)来命名的。计数制是用表示计数值符号的个数(称为基数)来命名的。日常生活中,人们常用的计数制是日

26、常生活中,人们常用的计数制是,而在,而在,有时也采用,有时也采用和和。指在该进位制中可能用到的数码的个数。如二进制有指在该进位制中可能用到的数码的个数。如二进制有0 和和1两个数码,因此基数是两个数码,因此基数是2;十进制有;十进制有09十个数码,十个数码, 基数是基数是10。任意一种进位制的数中,每一位的数码代表的权不同,任意一种进位制的数中,每一位的数码代表的权不同, 例如十进制数例如十进制数535=5102+3101+5100,显然百位的,显然百位的 5代表代表500,个位的个位的5代表代表5个;其中位权是个;其中位权是10的幂。的幂。第第2页页十进制计数各位的基数是十进制计数各位的基数

27、是1010;十进制数的每一位必定是十进制数的每一位必定是0 09 9十个数码中的一个;十个数码中的一个;十进制数低位和相邻高位之间的进位关系是十进制数低位和相邻高位之间的进位关系是“逢逢1010进进1”1”;同一个数字符号在不同的数位代表的权不同,权是同一个数字符号在不同的数位代表的权不同,权是1010的幂。的幂。二进制计数各位的基数是二进制计数各位的基数是2 2;二进制数的每一位必定是二进制数的每一位必定是1 1和和0 0两个二进制数码中的一个;两个二进制数码中的一个;二进制数低位和相邻高位之间的进位关系是二进制数低位和相邻高位之间的进位关系是“逢逢2 2进进1”1”;同一个数字符号在不同的

28、数位代表的权不同,权是同一个数字符号在不同的数位代表的权不同,权是2 2的幂。的幂。第第2页页八进制计数各位的基数是八进制计数各位的基数是8 8;八进制数的每一位必定是八进制数的每一位必定是0 07 7中八个数码中的一个;中八个数码中的一个;八进制数低位和相邻高位之间的进位关系是八进制数低位和相邻高位之间的进位关系是“逢逢8 8进进1”1”;同一个数字符号在不同的数位代表的权不同,权是同一个数字符号在不同的数位代表的权不同,权是8 8的幂。的幂。十六进制计数各位的基数是十六进制计数各位的基数是1616;十六进制数的每一位必定是十六进制数的每一位必定是0 01515中十五个数码中的一个;中十五个

29、数码中的一个;十六进制数低位和相邻高位之间的进位关系是十六进制数低位和相邻高位之间的进位关系是“逢逢1616进进1”1”;同一个数字符号在不同的数位代表的权不同,权是同一个数字符号在不同的数位代表的权不同,权是1616的幂。的幂。第第2页页同样的数码在同样的数码在不同的数位上不同的数位上代表的数值不代表的数值不同。同。任意一个十进制数都可以表示为各个数位上的数码任意一个十进制数都可以表示为各个数位上的数码与其对应的权的乘积之和,称为与其对应的权的乘积之和,称为(5555)105103 510251015100(209.04)10 2102 0101910001014 102又如:又如:即:即:

30、第第2页页第第2页页1 1 0 1 0 1 0 . 0 10 00 (152.2)8(2)八进制数转换为二进制数:将每位八进制数用)八进制数转换为二进制数:将每位八进制数用3位二进制数表示。位二进制数表示。= 011 111 100 . 010 110(374.26)8(1)二进制数转换为八进制数:)二进制数转换为八进制数: 将二进制数由小数点将二进制数由小数点开始,整数部分向左,小数部分向右,每开始,整数部分向左,小数部分向右,每3位分成一位分成一组,不够组,不够3位补零,则每组二进制数便是一位八进制位补零,则每组二进制数便是一位八进制数。数。第第2页页1 1 1 0 1 0 1 0 0 .

31、 0 1 10 0 00 (1D4.6)16=(1010 1111 0100 . 0111 0110)2(AF4.76)16 二进制数与十六进制数之间的相互转换,按照每二进制数与十六进制数之间的相互转换,按照每4位二进制数对应于一位十六进制数进行转换。位二进制数对应于一位十六进制数进行转换。:将整数部分和小数部分分别进行转换。:将整数部分和小数部分分别进行转换。 对整数部分采用基数连除法;小数部分对整数部分采用基数连除法;小数部分 采用基数连乘法。转换后再合并。采用基数连乘法。转换后再合并。整数部分整数部分 小数部分小数部分第第2页页 2 44 0=K0 低低位位 2 22 0=K1 2 11

32、 1=K2 2 5 1=K3 2 2 0=K4 1 1=K5 高高位位 0.375 2 整整数数 高高位位 0.750 0=K1 0.750 2 1.500 1=K2 0.500 2 1.000 1=K3 低低位位 采用基数连除、连乘法,可将十进制数转换为采用基数连除、连乘法,可将十进制数转换为二进制数,再根据二进制与任意进制之间的转换规二进制数,再根据二进制与任意进制之间的转换规则,进而转换为任意进制数。则,进而转换为任意进制数。第第2页页练习练习把下列二进制数转换成八进制数把下列二进制数转换成八进制数(10011011100)2=( )8(11100110110)2=( )8把下列二进制数

33、转换成十六进制数把下列二进制数转换成十六进制数(1001101110011011)2=( )16(1110010011010110)2=( )16把下列十进制数转换成二进制、八进制数和十六进制数把下列十进制数转换成二进制、八进制数和十六进制数(364.225)10=( )2=( )16=( )8(74.5)10=( )2=( )16=( )8 第第2页页 用以表示十进制数码、字母、符号等信息的一定用以表示十进制数码、字母、符号等信息的一定位数的二进制数称为代码。位数的二进制数称为代码。 二二- -十进制代码:用十进制代码:用4 4位二进制数位二进制数b3b2b1b0来表示十进来表示十进制数中的

34、制数中的 0 9 十个数码。简称十个数码。简称。 2421码码的权值依次为的权值依次为2、4、2、1;余余3码码由由8421BCD码每个代码加码每个代码加0011得到;得到;格雷码格雷码是一种是一种,其特点,其特点是任意相邻的两个字码,仅有一位代码不同,其它位相是任意相邻的两个字码,仅有一位代码不同,其它位相同。同。 用四位自然二进制码中的前用四位自然二进制码中的前10个数码来表示十进制数个数码来表示十进制数码,让各位的权值依次为码,让各位的权值依次为8、4、2、1,称为,称为8421 BCD码码。第第2页页第第2页页与运算:0 1 00AA AAAAAA或运算:1 11 0AA AAAAAA

35、非运算:AA 交换律:ABBAABBA结合律:)()()()(CBACBACBACBA分配律:)()()(CABACBACABACBA第第2页页(A+B)(A+C)=AA+AB+AC+BC(A+B)(B+C)=A+BC(A+B)(B+C)=A+BC=A+AB+AC+BCAA=AAA=A=A(1+B+C)+BC含有含有A A的项提取的项提取=A+BC1+B+C=11+B+C=1)(1BA BA A+A=1A+A=1A1=1A1=1A+AB=A+BA+AB=A+B第第2页页ABCBCABCAABCCBAABCCABAABCF)()(2逻辑函数化简的意义:逻辑表达式越简单,实现它的电路越逻辑函数化简

36、的意义:逻辑表达式越简单,实现它的电路越简单,电路工作越稳定可靠。简单,电路工作越稳定可靠。BCCBCBBCCBAABCCBBCAABCF)()(1第第2页页BAGEBCDABAF)(1BABCDBADABADBCDABADCDBAF)()(2CABCABABCBAABCBCAABF)(DCBADBACBADBACBADBACCBADCBDCACBAF)()(第第2页页 利用公式(),为某一项配上其所缺的变量,利用公式(),为某一项配上其所缺的变量,以便用其它方法进行化简。以便用其它方法进行化简。CACBBABBCAACBCBACBABCACBACBACBBACCBACBAACBBABACBC

37、BBAF)()1 ()1 ()()(BCACABBCAABCCBAABCCABABCBCACBACABABCF)()()(第第2页页 设有设有 n 个变量,它们组成的与项中每个变量或以原变量或以个变量,它们组成的与项中每个变量或以原变量或以反变量形式出现一次,且仅出现一次,此与项称之为反变量形式出现一次,且仅出现一次,此与项称之为 n 个变量的个变量的最小项。对于最小项。对于 n 个变量就可构成个变量就可构成 2n个最小项,分别记为个最小项,分别记为 mn; 其中下标值其中下标值 n:当各最小项变量按一定顺序排好后,用:当各最小项变量按一定顺序排好后,用 1 代代替其中的原变量,替其中的原变量

38、, 0 代替其中的反变量,便得一个二进制数,该代替其中的反变量,便得一个二进制数,该二进制数的等值十进制即为二进制数的等值十进制即为 n的值。的值。 例如:例如: 三变量的三变量的 8 个最小项可以表示为:个最小项可以表示为:ABC = m0 ABC = m1 ABC = m2 ABC = m3ABC = m4 ABC = m5 ABC = m6 ABC = m7 同理,两变量有同理,两变量有4个最小项:个最小项:00(m0),),01(m1),),10(m2),),11(m3);四变量有);四变量有16个最小项个最小项m0m15.第第2页页m0m1m2m3m4m5m6m7A B C A B

39、CA B CA B C A B CA B C A B CA B C00000101001110010111011101234567编号编号最小项最小项A B C序号序号第第2页页 逻辑函数被表达成一系列乘积项之和,则称之逻辑函数被表达成一系列乘积项之和,则称之为为表达式。表达式。 如果构成函数的如果构成函数的“与或与或”表达式中每一个乘积表达式中每一个乘积项项(与项与项)均为最小项时,则这种表达式称之为均为最小项时,则这种表达式称之为,且这种表示是且这种表示是。如:如:F(A,B,C) = AC + AB + BC = ABC + ABC + ABC + ABC = m2 m3 m5 m7 =

40、 m(2,3,5,7)第第2页页 卡诺图是逻辑函数真值表的一种图形表示,卡诺图原则上不受卡诺图是逻辑函数真值表的一种图形表示,卡诺图原则上不受变量个数的限制,利用卡诺图可以有规律地化简逻辑函数表达式,变量个数的限制,利用卡诺图可以有规律地化简逻辑函数表达式,并能直观地写出逻辑函数的最简式。并能直观地写出逻辑函数的最简式。 卡诺图是一种平面方格阵列图,它将最小项按相邻原则排列到卡诺图是一种平面方格阵列图,它将最小项按相邻原则排列到小方格内。卡诺图的画图规则:小方格内。卡诺图的画图规则:。m0m1m2m3AB0101两变量的卡诺图两变量的卡诺图三变量的卡诺图三变量的卡诺图m0m1m4m5ABC00

41、0101m3m2m7m61110第第2页页四变量的卡诺图四变量的卡诺图m0m1m4m5ABCD00010001m3m2m7m61110m12m13m8m9m15m14m11m101110 F = m1 + m2 + m5 + m7 ,其真值表和卡诺图标注如下:其真值表和卡诺图标注如下:01324576 BCA11110001111001 把给定的逻辑函数化为最小项标准式;把给定的逻辑函数化为最小项标准式; 按变量数画出相应卡诺图;按变量数画出相应卡诺图;把最小项标准式中含有的最小项在方格把最小项标准式中含有的最小项在方格内标内标“1” 所有标有所有标有“1”的小方格就是该逻辑函数的小方格就是该

42、逻辑函数中的项。中的项。行号行号ABCFmi012345670 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 101100101m0m1m2m3m4m5m6m7第第2页页 F1 = AC + ABC + BC 将函数化为标准式,即:将函数化为标准式,即: F1 = ABC + ABC + ABC + ABC + ABC = m1 + m4 + m5 + m6 + m7 = m (1,4,5,6,7) F1的卡诺图如下:的卡诺图如下:m0m1m4m5ABC000101m3m2m7m6111011111第第2页页F2 = ABC + AC + BCm0m1m4m5ABC

43、000101m3m2m7m6111011111第第2页页 2个小方格相邻时,可以合并为一项,同时消去一个互非的变量;个小方格相邻时,可以合并为一项,同时消去一个互非的变量;4个小方格组成一个大方块,或组成一行(列),或在相邻两行(列)个小方格组成一个大方块,或组成一行(列),或在相邻两行(列)的两端,或处于四角时,可以合并为一项,同时消去两个互非的变的两端,或处于四角时,可以合并为一项,同时消去两个互非的变量;量;8个小方格组成一个长方形,或处于两边的两行(两列),可合个小方格组成一个长方形,或处于两边的两行(两列),可合并为一项,同时消去三个互非的变量;如果逻辑变量为并为一项,同时消去三个互

44、非的变量;如果逻辑变量为5个或个或5个以个以上时,在用卡诺图化简时,合并的小方格应组成正方形或长方形,上时,在用卡诺图化简时,合并的小方格应组成正方形或长方形,同时满足相邻原则(不一定是几何上的相邻)。同时满足相邻原则(不一定是几何上的相邻)。 根据变量的数目,画出函数的卡诺图;根据变量的数目,画出函数的卡诺图; 合并最小项,即把可以合并的最小项用卡诺圈圈起来;合并最小项,即把可以合并的最小项用卡诺圈圈起来; 按每个圈作为一个乘积项,将各乘积项相加,写出化简后的按每个圈作为一个乘积项,将各乘积项相加,写出化简后的 与或表达式。与或表达式。第第2页页化简化简 F1= m(1,3,4,5,9,11

45、,12,13,14,15)第一步:将函数第一步:将函数F1表示在卡诺表示在卡诺图中;图中;第二步:选择出必要极大圈,注意卡诺圈只能圈住相邻的最小项第二步:选择出必要极大圈,注意卡诺圈只能圈住相邻的最小项为为2n,即相邻,即相邻2个方格;个方格;4个方格;个方格;8个方格;个方格;16个方格个方格第第2页页ABCD00010001111011100111100011011110函数式中含有的最小项用函数式中含有的最小项用“1”标在对应的方格内,标在对应的方格内,其它方格标其它方格标“0”。第三步:消去第三步:消去卡诺圈内互非的变量,写出化简后的与或表达式。卡诺圈内互非的变量,写出化简后的与或表达

46、式。F1=BC+AB+CD+BD第第2页页化简化简 F2= m(1,2,3,4,5,7,14,15)ABCD00010001111011100111111000001100 F2=ABC+AD+ABC+ABCABC00010111101111F3=A这三个这三个2个方格的卡诺圈各消去一个方格的卡诺圈各消去一个互非的变量个互非的变量D。4个方格的卡诺圈消去两个个方格的卡诺圈消去两个互非的变量互非的变量B和和C。F3=ABC+AB+ABC+ABC 如果一个有如果一个有n个变量的逻辑函数,它的最小项数为个变量的逻辑函数,它的最小项数为2n个,但在个,但在实际应用中可能仅用一部分,另外一部分禁止出现或

47、者出现后对实际应用中可能仅用一部分,另外一部分禁止出现或者出现后对电路的逻辑状态无影响,我们称这部分最小项为无关最小项(也电路的逻辑状态无影响,我们称这部分最小项为无关最小项(也称为约束项),用称为约束项),用d表示。表示。 由于无关最小项对最终的逻辑结果无影响,因此在化简的过程由于无关最小项对最终的逻辑结果无影响,因此在化简的过程中,可以根据化简的需要将这些约束项看作中,可以根据化简的需要将这些约束项看作1或者或者0。约束项在卡诺。约束项在卡诺图中填写时用图中填写时用表示。表示。 用卡诺图化简逻辑函数用卡诺图化简逻辑函数 :F =m(1,3,5,7,9)+d(10,11,12,13,14,1

48、5)1111 1 F=DABCD00 01 11 1000011110 利用约束项化简的过程中,尽量利用约束项化简的过程中,尽量不要将不需要的约束项也画入圈内,不要将不需要的约束项也画入圈内,否则得不到函数的最简形式。否则得不到函数的最简形式。 第第2页页练习练习1.F AB+AB (C+D) E2.F = AB + AC + BC 3.F = AB + BC + BC + AB4.F = AB + AC + BC + CB + BD + DB + ADE ( F + G )5.F= m(0,8,9,10,11,12,13,14,15)6.F=(A+B)(A+D)(A+C)7.F = m(4,

49、5,13,15) + d (2,3,7,9,14)8.F = m(11,12,13,14,15) + d (5,6,7,8,9,10)9.F = m(3,5,7,9,11) + d (0,1,2,13,14,15)第第2页页 在数字电路中,如果任意时刻的输出信号,仅取决于在数字电路中,如果任意时刻的输出信号,仅取决于该时刻输入信号逻辑取值的组合,而与输入信号作用前电该时刻输入信号逻辑取值的组合,而与输入信号作用前电路原有的状态无关,这类数字电路称为路原有的状态无关,这类数字电路称为。 所谓分析,就是根据给定的逻辑电路,找出其输出信号和输入信所谓分析,就是根据给定的逻辑电路,找出其输出信号和输入

50、信号之间的逻辑关系,确定电路的逻辑功能。号之间的逻辑关系,确定电路的逻辑功能。用逐级递推法写出输出逻辑函数与输入逻辑变量之间的关系;用逐级递推法写出输出逻辑函数与输入逻辑变量之间的关系;用公式法或者卡诺图法化简,写出最简逻辑表达式;用公式法或者卡诺图法化简,写出最简逻辑表达式;根据最简逻辑函数式列出功能真值表;根据最简逻辑函数式列出功能真值表;根据真值表写出逻辑功能说明,以便理解电路的作用。根据真值表写出逻辑功能说明,以便理解电路的作用。第第2页页当输入当输入A、B、C中有中有2 2个或个或3 3个为个为1 1时,时,输出输出Y为为1 1,否则输出,否则输出Y为为0 0。所以这个电。所以这个电

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 办公、行业 > 各类PPT课件(模板)
版权提示 | 免责声明

1,本文(J《电工电子技术基础》电子教案电工电子技术课件第.ppt)为本站会员(三亚风情)主动上传,163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。
2,用户下载本文档,所消耗的文币(积分)将全额增加到上传者的账号。
3, 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(发送邮件至3464097650@qq.com或直接QQ联系客服),我们立即给予删除!


侵权处理QQ:3464097650--上传资料QQ:3464097650

【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。


163文库-Www.163Wenku.Com |网站地图|