时序逻辑电路工作原理和方法课件.ppt

上传人(卖家):三亚风情 文档编号:2928139 上传时间:2022-06-12 格式:PPT 页数:114 大小:3.73MB
下载 相关 举报
时序逻辑电路工作原理和方法课件.ppt_第1页
第1页 / 共114页
时序逻辑电路工作原理和方法课件.ppt_第2页
第2页 / 共114页
时序逻辑电路工作原理和方法课件.ppt_第3页
第3页 / 共114页
时序逻辑电路工作原理和方法课件.ppt_第4页
第4页 / 共114页
时序逻辑电路工作原理和方法课件.ppt_第5页
第5页 / 共114页
点击查看更多>>
资源描述

1、时序逻辑电路时序逻辑电路工作工作原理和方法原理和方法用驱动方程、状态方程和时序图分析时序逻用驱动方程、状态方程和时序图分析时序逻 了解:了解:中规模集成移位寄存器的中规模集成移位寄存器的。掌握:掌握:辑电路的辑电路的方法方法。集成时序逻辑电路器件功能表的读法。集成时序逻辑电路器件功能表的读法。单向、双向及循环移位寄存器的逻辑功能。单向、双向及循环移位寄存器的逻辑功能。 熟悉:熟悉:移位寄存器的移位寄存器的工作原理。工作原理。本章教学基本要求本章教学基本要求常用中规模计数器的常用中规模计数器的。同步和异步二进制、十进制、同步和异步二进制、十进制、N N进制及各种可进制及各种可逆计数器的工作原理的

2、逆计数器的工作原理的分析方法分析方法。 时序逻辑电路的特点时序逻辑电路的特点任何时刻的输出不仅取决于该时刻的输任何时刻的输出不仅取决于该时刻的输入信号,而且与电路原有的状态有关。入信号,而且与电路原有的状态有关。逻辑功能特点:逻辑功能特点:电路结构特点:电路结构特点:由存储电路和组合逻辑电路组成。由存储电路和组合逻辑电路组成。时序逻辑电路的类型时序逻辑电路的类型同步同步时序逻辑电路时序逻辑电路 异步异步时序逻辑电路时序逻辑电路 所有触发器的时钟端连在一起。所有触发器的时钟端连在一起。所有触发器在同一个时钟脉冲所有触发器在同一个时钟脉冲 CP 控制下同步工作。控制下同步工作。时钟脉冲时钟脉冲 C

3、P 只触发部分触发器,其余触发器由电路内部信号触发。只触发部分触发器,其余触发器由电路内部信号触发。因此,触发器因此,触发器不在不在同一时钟作用下同步工作同一时钟作用下同步工作。5.1概概 述述时序电路的一般方框图如下:时序电路的一般方框图如下:X(x1,x2xi)代表输入信号代表输入信号Y(y1,y2yj)代表输出信号代表输出信号Z(z1,z2zk)代表存储代表存储电路的输入信号电路的输入信号Q(q1,q2ql)代表存储电路的代表存储电路的输出输出这些信号之间的关系可以用三个向量函数表示这些信号之间的关系可以用三个向量函数表示: : Y(tn) = FX(tn),Q(tn) 输出方程输出方程

4、 Q(tn+1) = GZ(tn),Q(tn) 状态方程状态方程 Z(tn) = HX(tn),Q(tn) 驱动方程驱动方程 tn n , ,tn+1n+1表示相邻的两个离散时间。表示相邻的两个离散时间。Q 称为状态向量。称为状态向量。时序电路的表示时序电路的表示一、状态转换表一、状态转换表 将任何一组输入变量及电路初态的取值代入状态方程将任何一组输入变量及电路初态的取值代入状态方程和输出方程,即可算出电路的次态和输出值。和输出方程,即可算出电路的次态和输出值。二、状态转换表二、状态转换表 以小圆圈表示电路的各个状态,圆圈中填入存储单元以小圆圈表示电路的各个状态,圆圈中填入存储单元的状态值,圆

5、圈之间用箭头表示状态转换的方向,箭头旁的状态值,圆圈之间用箭头表示状态转换的方向,箭头旁注明输入变量取值和输出值,输入和输出用斜线分开。注明输入变量取值和输出值,输入和输出用斜线分开。三、时序图三、时序图 把在时钟序列脉冲作用下存储电路的状态和输出状把在时钟序列脉冲作用下存储电路的状态和输出状态随时间变化的波形画出来,称为时序图。态随时间变化的波形画出来,称为时序图。 主要要求:主要要求: 掌握同步时序逻辑电路的分析方法掌握同步时序逻辑电路的分析方法,了解异,了解异步时序逻辑电路的分析方法。步时序逻辑电路的分析方法。理解理解时钟方程、驱动方程、输出方程、状态时钟方程、驱动方程、输出方程、状态方

6、程、状态转换真值表、状态转换图和时序方程、状态转换真值表、状态转换图和时序图等概念及求取方法。图等概念及求取方法。5.2时序逻辑电路的分析方法时序逻辑电路的分析方法 一、同步时序逻辑电路的分析方法一、同步时序逻辑电路的分析方法:基本步骤:基本步骤:1.根据给定电路写出其时钟方程、输出方根据给定电路写出其时钟方程、输出方 程、程、2.求状态方程。求状态方程。3.进行状态计算。把电路的输入和现态各种可能取值组进行状态计算。把电路的输入和现态各种可能取值组合代入状态方程和输出方程进行计算合代入状态方程和输出方程进行计算,得到相应的次态得到相应的次态和输出。和输出。4.画状态图画状态图(或时序图或时序

7、图)触发器输入信号的逻辑函数触发器输入信号的逻辑函数式式驱动方程驱动方程 YQ1Q1Q2Q21J C11K1J C11K1J C11K&Q0Q0FF0 FF1 FF2CPCPCPCPCP012nnQQY21nnnnnnQKQJQKQJQKQJ202001011212 时钟方程:输出方程:输出仅与电路现态有关,为穆尔型时序电路。同步时序电路的时钟方程可省去不写。驱动方程:1写写方方程程式式2求状态方程求状态方程JK触发器的特性方程:nnnQKQJQ1将各触发器的驱动方程代入,即得电路的状态方程:nnnnnnnnnnnnnnnnnnnnnnnnQQQQQQKQJQQQQQQQKQJQQQQQQQK

8、QJQ202020000100101011111112121222212现 态次 态输 出nnnQQQ012 101112 nnnQQQY3计算、列状态表计算、列状态表nnnnnnnnQQYQQQQQQ212100111120 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 10 0 10 1 11 0 11 1 10 0 00 1 01 0 01 1 0000011000001000101112YQQQnnn0001010101112YQQQnnn0001001101112YQQQnnn0001011101112YQQQnnn1100100101112YQQQnnn

9、1100110101112YQQQnnn0000101101112YQQQnnn0000111101112YQQQnnn4画状态图、时序图画状态图、时序图 000001011/1/0100110111/0 /0/0 /0(a) 有效循环010 101(b) 无效循环/0/1排列顺序: /Y nnnQQQ012状态图状态图CPQ0Q1Q2Y5电电路路功功能能时时序序图图有效循环的6个状态分别是05这6个十进制数字的格雷码,并且在时钟脉冲CP的作用下,这6个状态是按递增规律变化的,即:000001011111110100000所以这是一个用格雷码表示的六进制同步加法计数器。当对第6个脉冲计数时,计

10、数器又重新从000开始计数,并产生输出Y1。Q0Q0FF0 FF1CP YQ1Q11T C11T C1&=1 X“1”输出方程:输出与输入有关,为米利型时序电路。同步时序电路,时钟方程省去。驱动方程:1写写方方程程式式nnQXQXY111001TQXTnnnnnnnnnQQQTQQQXQTQ0000010111112求状态方程求状态方程T触发器的特性方程:将各触发器的驱动方程代入,即得电路的状态方程:nnQTQ13计算、列状态表计算、列状态表输入现 态次 态输出XnnQQ01 1011nnQQY000011110 00 11 01 10 00 11 01 10 11 01 10 01 10 0

11、0 11 011110011nnnnnnQXYQQQQXQ1001011100100000011YQQnn100011100011YQQnn110101010011YQQnn110010110011YQQnn001101001011YQQnn001010101011YQQnn111100011011YQQnn111011111011YQQnn4 00 01 11 10 0/1 1/0 1/1 0/10/1 0/01/1 0/1CPXQ0Q1Y(a) 状态图(b) 时序图5电电路路功功能能由状态图可以看出,当输入X 0时,在时钟脉冲CP的作用下,电路的4个状态按递增规律循环变化,即:000110

12、1100当X1时,在时钟脉冲CP的作用下,电路的4个状态按递减规律循环变化,即:0011100100可见,该电路既具有递增计数功能,又具有递减计数功能,是一个2位二进制同步可逆计数器。画状态图画状态图时序图时序图例例: 分析图示逻辑电路的逻辑功能分析图示逻辑电路的逻辑功能,说明其用途说明其用途.设初始状态为设初始状态为“0000”。JJJKKKDR清零清零计数脉冲计数脉冲Q2Q1Q0QQQQQQF0F1F2解:解:分析:分析: 从各触发器的从各触发器的C端连接来看,这是一个端连接来看,这是一个异步工作方式的计数器。其中,异步工作方式的计数器。其中,F0 和和 F2的的C与计数脉冲相连,与计数脉

13、冲相连,F1 的时钟端的时钟端C = Q0 ,即即F1只有在只有在Q0的状态从的状态从1变为变为0时才能翻转。时才能翻转。(1)各)各J、K端的逻辑式端的逻辑式10K111 KJ012QQJ12K( Q0每从每从1变为变为0时,时, 翻转一次)翻转一次)Q120QJ (2)列表)列表注意到注意到F1只有在只有在Q0的状态从的状态从1变为变为0时才能翻转时才能翻转C012345K2111111J1111111J2000100K1111111J0111101K0111111Q2 Q1 Q00 0 00 0 10 1 00 1 11 0 00 0 0这是一个五进制的异步加法计数器。这是一个五进制的异

14、步加法计数器。时序图如下时序图如下CQ0Q1Q21 2 3 4 5试分析图示时序逻辑电路的逻辑功能,列出状态转换表,并画出状态转换图。解:图示电路为1个异步摩尔型时序逻辑电路。写时钟方程:写驱动方程:写状态方程: CPCP00QCPCP031QCP12111132132213100KQQJKJKQJKJ下降沿动作)(下降沿动作)(下降沿动作)(下降沿动作)(QQQQQQQQQQQQCPQQ0n3211n31n21n20n131n10n01n0列状态转换表: 状态转换图 异步十进制加法计数器状态转换图 说明: 自启动是指若计数器由于某种原因进入无效状态后,在连续时钟脉冲作用下,能自动从无效状态进

15、入到有效计数状态。 计数器的作用与分类计数器的作用与分类 计数器计数器( (Counter) )用于计算输入脉冲个数,用于计算输入脉冲个数,还常用于分频、定时及进行数字运算等。还常用于分频、定时及进行数字运算等。 计数器分类如下:计数器分类如下: 按时钟控制方式不同分按时钟控制方式不同分 异步计数器异步计数器 同步计数器同步计数器 同步计数器比异步计数器的速度快得多。同步计数器比异步计数器的速度快得多。5.3异步异步计数器计数器按计数器功能分按计数器功能分加法计数器加法计数器 减法计数器减法计数器 加加 / / 减计数器减计数器( (又称可逆计数器又称可逆计数器) ) 对计数脉冲作对计数脉冲作

16、递增计数的电路。递增计数的电路。 对计数脉冲作对计数脉冲作递减计数的电路。递减计数的电路。 在加在加 / / 减控制信减控制信号作用下,可递增也号作用下,可递增也可递减计数的电路。可递减计数的电路。 按计数进制分按计数进制分按 二 进 制按 二 进 制数运算规律进数运算规律进行计数的电路行计数的电路 按 十 进 制按 十 进 制数运算规律进数运算规律进行计数的电路行计数的电路 二进制计数器二进制计数器 十进制计数器十进制计数器 任意进制计数器任意进制计数器( (又称又称 N 进制计数器进制计数器) )二 进 制二 进 制和十进制以和十进制以外的计数器外的计数器 计数顺序 电路状态等效十进制数Q

17、2 Q1 Q0012345678 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 0012345670一、异步二进制加法计数器一、异步二进制加法计数器三位二进制加法计数器状态表三位二进制加法计数器状态表异步计数器的分析方法异步计数器的分析方法FF01J1KRC1Q0Q1Q2Q3FF11J1KRC1FF21J1KRC1FF31J1KRC11 1CPRD1. 电路构成与工作原理电路构成与工作原理FF01J1KRC1Q0Q1Q2Q3FF11J1KRC1FF21J1KRC1FF31J1KRC11 1CPRD1 11J1K1J1K1J1K1J1KC

18、1CPC1Q0C1Q1C1Q2 JK 触发器构成的异步二进制加法计数器触发器构成的异步二进制加法计数器 输入第输入第“1”个计数脉冲时,计数器输出为个计数脉冲时,计数器输出为0001”;输入第输入第“2”个个计数脉冲时,计数器输出为计数脉冲时,计数器输出为“0010”。输入第输入第“15”个脉冲时,输出个脉冲时,输出“1111”,当输入第,当输入第“16”个个脉冲时,输出返回初态脉冲时,输出返回初态“0000”,且,且 Q3 端输出进端输出进位信号下降沿。因此,该电路构成位信号下降沿。因此,该电路构成 4 位二进制加法计数位二进制加法计数器。器。00010010CPQ3Q0Q1Q20000来一

19、个来一个 CP 翻转一次翻转一次 来一个来一个 Q0 翻转一次翻转一次 来一个来一个 Q1 翻转一次翻转一次 来一个来一个 Q2 翻转一次翻转一次 11110000依次输入脉冲时,计数状态按依次输入脉冲时,计数状态按 4 位二进制数递增规律变化。位二进制数递增规律变化。 工作原理工作原理 下面总结一下用不同种类触下面总结一下用不同种类触发器构成异步二进制计数器的方发器构成异步二进制计数器的方法。法。2. 异步二进制计数器的构成方法异步二进制计数器的构成方法CPi = Qi - - 1CPi = Qi - - 1减法计数减法计数 CPi = Qi - - 1CPi = Qi - - 1加法计数加

20、法计数 下降沿触发式下降沿触发式 上升沿触发式上升沿触发式 计数触发器的触发信号接法计数触发器的触发信号接法计数规律计数规律 将触发器接成计数触发器,然后级联,将计数脉冲将触发器接成计数触发器,然后级联,将计数脉冲CP 从最低位时钟端输入,其他各位时钟端接法如下表:从最低位时钟端输入,其他各位时钟端接法如下表:计数器为什么能用作分频器?计数器为什么能用作分频器?怎么用?怎么用?CPQff210 CPQff411 CPQff812 CPQff1613 模模 M 计数器也是一个计数器也是一个 M 分频器,分频器, M 分频分频器的输出信号即为计数器最高位的输出信号。器的输出信号即为计数器最高位的输

21、出信号。CPQ3Q0Q1Q24 位二进制加法计数器位二进制加法计数器工作波形工作波形 3. 计数器用作分频器计数器用作分频器“000 1”不够减,需向相邻高位借不够减,需向相邻高位借“1”,借借“1”后作运算后作运算“1000 1 = 111”。Q0Q1Q2计计 数数 状状 态态计数顺序计数顺序000810070106110500141013011211110000Q0Q1Q2计计 数数 状状 态态计数顺序计数顺序000810070106110500141013011211110000Q0Q1Q2计计 数数 状状 态态计数顺序计数顺序000810070106110500141013011211

22、110000三位二进制减法计数器状态表三位二进制减法计数器状态表二、异步二进制减法计数器二、异步二进制减法计数器下降沿动作的下降沿动作的T触发器构成的异步二进制减法计数器触发器构成的异步二进制减法计数器上降沿动作的上降沿动作的T触发器构成的异步二进制减法计数器触发器构成的异步二进制减法计数器上升沿动作的二进制减法的时序图上升沿动作的二进制减法的时序图 异步十进制计数器与异步二进制计数器异步十进制计数器与异步二进制计数器的计数规律有何不同?的计数规律有何不同? 它们的构成方法有何不同?它们的构成方法有何不同?1. 十进制计数器与十进制计数器与 4 位二进制计数器的比较位二进制计数器的比较8421

23、BCD 码十进制计数器的设计思想:码十进制计数器的设计思想:在在 4 位二进制计数器基础上引入反馈,强迫位二进制计数器基础上引入反馈,强迫电路在计至状态电路在计至状态 1001 后就能返回初始状态后就能返回初始状态 0000,从而利用状态从而利用状态 0000 1001 实现十进制计数。实现十进制计数。 三、三、 异步十进制计数器异步十进制计数器 四四位位二二进进制制加加法法计计数数器器态态序序表表 00001611111501111410111300111211011101011010019000181110701106101050010411003010021000100000Q0Q1Q2

24、Q3计计 数数 器器 状状 态态计数计数顺序顺序十十进进制制计计数数器器态态序序表表 00001010019000181110701106101050010411003010021000100000Q0Q1Q2Q3计计 数数 器器 状状 态态计数计数顺序顺序十进制计数器与十进制计数器与4 位二进制计数器比较位二进制计数器比较 只利用了只利用了 4 位二进制位二进制加法计数器的前十个状态加法计数器的前十个状态 0000 1001。8421 码十进制加法计数器码十进制加法计数器计数规律计数规律Q0Q1Q2Q3计计 数数 器器 状状 态态计数顺序计数顺序10019000181110701106101

25、050010411003010021000100001000000异步十进制加法计数器逻辑图异步十进制加法计数器逻辑图十进制加法计数器时序图十进制加法计数器时序图异步十进制加法计数器状态转换图异步十进制加法计数器状态转换图计数的最大数目称为计数器的计数的最大数目称为计数器的“模模”,用,用 M 表示。表示。模也称为计数长度或计数容量。模也称为计数长度或计数容量。 N 进制进制计数器计数器计数规计数规律举例律举例具有具有 5 个独个独立的状态,计满立的状态,计满 5 个计数脉冲后,个计数脉冲后,电路状态自动进电路状态自动进入循环。故为入循环。故为五五进制计数器。进制计数器。 五进制计数器五进制计

26、数器也称模也称模 5 计数器;计数器;十进制计数器则十进制计数器则为模为模 10 计数器;计数器;3 位二进制计数器为模位二进制计数器为模 8 计数器。计数器。 n 个触发器有个触发器有 2n 种输出,最多可实现模种输出,最多可实现模 2n 计数。计数。 Q0Q1Q2计计 数数 状状 态态计数顺序计数顺序000500141103010210010000异步异步2510进制计数器进制计数器74LS290 74LS29074LS290的外引脚图、逻辑符号及逻辑功能的外引脚图、逻辑符号及逻辑功能图5-31 74LS290 2510进制计数器 (a) 外引脚图 (b) 逻辑符号 输出CP输入异步置数基

27、本工作方式 (1)二进制计数:将计数脉冲由CP0输入,由Q0输出计数顺序计数器状态CP0Q0001120R0(1)R0(2)S9(1)S9(2)Q3Q2Q1Q01 10 0 1 1 0 0 0 0 0 0 0 0 0 0 0 01 0 0 1 计数计数 计数计数 计数计数 计数计数74LS290功能表 (2)五进制计数:将计数脉冲由CP1输入,由Q3 、Q2、 Q1 输出五进制计数器 计数顺序计数器状态CP1Q3 Q2 Q1 00 0 010 0 120 1 030 1 141 0 050 0 0 (3) 8421BCD码十进制计数:将Q0与CP1相连,计数脉冲CP由CP0输入 图5-32(c

28、) 8421BCD码十进制计数器 计数计 数 器 状 态顺序Q3 Q2 Q1 Q000 0 0 010 0 0 120 0 1 030 0 1 140 1 0 050 1 0 160 1 1 070 1 1 181 0 0 091 0 0 1100 0 0 0二进制五进制 同步与异步计数器的根本区别是时钟控制方式不同,同步与异步计数器的根本区别是时钟控制方式不同,导致电路构成也不同。导致电路构成也不同。同步计数器与异步计数器有何不同?同步计数器与异步计数器有何不同?1. 1. 同步与异步二进制加法计数器比较同步与异步二进制加法计数器比较 一、一、 同步二进制计数器同步二进制计数器 态序表和工作

29、波形一样态序表和工作波形一样电路结构不同:电路结构不同:异步异步二进制二进制加法计数器加法计数器的构成方法:将触发器的构成方法:将触发器接成接成计数触发器计数触发器;最低位触发器用计数脉冲;最低位触发器用计数脉冲 CP 触发,触发,其他其他触发器触发器用邻低位输出的下降沿触发。用邻低位输出的下降沿触发。同步同步二进制二进制加法计数器加法计数器的构成方法:将触发的构成方法:将触发器器接成接成 T 触发器触发器;各触发器都用计数脉冲;各触发器都用计数脉冲 CP 触触发,发,最低位触发器最低位触发器 的的T 输入为输入为 1,其他触发器的其他触发器的 T 输入为其低位各触发器输出信号相与。输入为其低

30、位各触发器输出信号相与。5.4同步同步计数器计数器同步计数器为什么要那样构成呢?同步计数器为什么要那样构成呢? 通过分析同步二进制加法计数通过分析同步二进制加法计数规律就可明白。规律就可明白。 因此,应将触发因此,应将触发器器接成接成 T 触发器触发器;并并接成接成 T0 = 1, T1 = Q0n , T2 = Q1n Q0n , T3 = Q2n Q1n Q0n 。即:即:最低位触发器最低位触发器 T 输入输入为为 1,其他触发器其他触发器 T 输入为其低位输出的输入为其低位输出的“与与”信号。信号。这样,这样,各触发器当其低位输各触发器当其低位输出信号均为出信号均为 1 时,来时,来一个

31、时钟就翻转一次,一个时钟就翻转一次,否则状态不变。否则状态不变。00001611111501111410111300111211011101011010019000181110701106101050010411003010021000100000Q0Q1Q2Q3计计 数数 器器 状状 态态计数顺序计数顺序根据态序表分析同步二进制加法计数规律根据态序表分析同步二进制加法计数规律Q0来一个时钟就来一个时钟就翻转一次。翻转一次。00001611111501111410111300111211011101011010019000181110701106101050010411003010021000

32、100000Q0Q1Q2Q3计计 数数 器器 状状 态态计数顺序计数顺序Q1在其低位在其低位Q0输输出为出为 1 时,时,来一个时来一个时钟就翻转一次,否则钟就翻转一次,否则状态不变。状态不变。00001611111501111410111300111211011101011010019000181110701106101050010411003010021000100000Q0Q1Q2Q3计计 数数 器器 状状 态态计数顺序计数顺序1100Q2在其低位在其低位Q0和和Q1均为均为 1 时,时,来一来一个时钟翻转一次,否个时钟翻转一次,否则状态不变。则状态不变。0000161111150111

33、1410111300111211011101011010019000181110701106101050010411003010021000100000Q0Q1Q2Q3计计 数数 器器 状状 态态计数顺序计数顺序10Q3在其低位在其低位Q0 、Q1和和Q2均为均为 1 时,时,来来一个时钟翻转一次,一个时钟翻转一次,否则状态不变。否则状态不变。同步二进制加法计数器同步二进制加法计数器CO = Q3n Q2n Q1n Q0n进位输出信号进位输出信号FF01J1KRC1Q0Q1Q2Q3FF11J1KRC1FF21J1KRC1FF31J1KRC11 1CPRDCOFF01J1K1 1FF11J1KQ

34、0nFF21J1KQ0nQ1n&FF31J1KQ0nQ2n&Q1nQ0Q1Q2Q3CO&RDRRRR计数开始前先清零计数开始前先清零CPC1C1C1C1 各触发器都用各触发器都用 CP 触发触发2 2、同步二进制加法计数器电路与工作原理、同步二进制加法计数器电路与工作原理CO = Q3n Q2n Q1n Q0n,因此,因此,CO在计数至在计数至“15”时时 跃变为高电平,在计至跃变为高电平,在计至“16”时输出进位信号的下降沿。时输出进位信号的下降沿。0100000000000000000000COQ0Q1Q2Q3 输输 出出计计 数数 器器 状状 态态计计 数数顺顺 序序1601511401

35、31120111100918071605140203111011001100110011001111000011110000111111110000000四位二进制加法计数器态序表四位二进制加法计数器态序表00001610001501001411001300101210101101101011109000181001701016110150011410113011121111100000Q0Q1Q2Q3计计 数数 器器 状状 态态计数顺序计数顺序将触发器接成将触发器接成 T 触发器,并使触发器,并使 T0 = 1,Ti = Qi-1n Qi-2n Q0n,则可构成同步二进制则可构成同步二进制减

36、法计数器。减法计数器。同步二进制减法计数器同步二进制减法计数器3 3、4、同步二进制可逆计数器、同步二进制可逆计数器加减控制端S=1时,下面三个与非门被封锁,进行加计数S=0时,上面三个与非门被封锁,进行减计数加减可控计数器加减可控计数器5、可预置同步二进制计数器、可预置同步二进制计数器置数信号置数信号时钟输入时钟输入输出信号输出信号进位输出进位输出使能信号使能信号清零信号清零信号数据输入数据输入 74LS161 Q0 Q1 Q2 Q3 (b) 逻辑功能示意图 (a) 引脚排列图 16 15 14 13 12 11 10 9 74LS161 1 2 3 4 5 6 7 8 VCC CO Q0

37、Q1 Q2 Q3 ET LD RD CP D0 D1 D2 D3 EP GND RD D0 D1 D2 D3 ET EP CP CO LD RD =0时异步清零。RD =1、LD=0时同步置数。RD = LD =1且EP=ET=1时,进行同步二进制计数。RD = LD =1且EPET=0时,计数器状态保持不变。74LS161逻辑符号图逻辑符号图74LS161功能表功能表 0 0 0 0 d0 d1 d2 d3 计计 数数 保保 持持 保保 持持 X X X X d0 d1 d2 d3 X X X X X X X X X X X X X XXX0111 X X X X 1 1 0 X X 001

38、11112345Q0 Q1 Q2 Q3并行输入并行输入D0 D1 D2 D3时钟时钟CP置数置数LD使使 能能CTP CTT 清零清零CR 输输 出出输输 入入序序号号如果让计数器从如果让计数器从0000开始计数,可用两个方法实现,开始计数,可用两个方法实现,一种是先清零后计数一种是先清零后计数,另一种是先预置另一种是先预置0000然后计数。然后计数。计计 数数 器器 的的 时时 序序 图图扩展为扩展为8位以上二进制计数器的方法举例说明如下位以上二进制计数器的方法举例说明如下:C0=1,2#才有才有CTP=CTT=1的条的条件件, 高电平只持续一个周期高电平只持续一个周期,下下一周期到来时一周

39、期到来时,1#片的片的Q3Q2Q1Q0=0000,2#计数一次计数一次当当1#,2#都计数满都计数满时时,3#才具有计数才具有计数条件条件,完成一次加完成一次加1运算运算二二. 同步十进制计数器同步十进制计数器同步十进制加法计数器的电路同步十进制加法计数器的电路根据时序电路的分析方法根据时序电路的分析方法,可以列出其驱动方程、可以列出其驱动方程、输出方程。再将驱动方程代入到输出方程。再将驱动方程代入到JK触发器的特触发器的特性方程性方程,得到状态方程得到状态方程,并进行状态计算。并进行状态计算。同步十进制加法计数器同步十进制加法计数器采用的是采用的是8421BCD码码,其有其有效状态从效状态从

40、00001001共十个。如果进入非有效状共十个。如果进入非有效状态态 ,能够自动返回到有效状态。,能够自动返回到有效状态。逻辑图逻辑图时序图时序图时时序序图图此电路的设此电路的设计方法参见计方法参见5.5节节8421码同步十进制计数器状态转换图码同步十进制计数器状态转换图 常用的同步十进制集成芯片很多,如各种常用的同步十进制集成芯片很多,如各种LS和和CMOS4000及及HC系列的系列的“160”“162”“190”“192”等。等。 74LS160 Q0 Q1 Q2 Q3 (b) 逻辑功能示意图 (a) 引脚排列图 16 15 14 13 12 11 10 9 74LS160 1 2 3 4

41、 5 6 7 8 VCC CO Q0 Q1 Q2 Q3 ET LD RD CP D0 D1 D2 D3 EP GND RD D0 D1 D2 D3 ET EP CP CO LD 集成十进制同步加法计数器集成十进制同步加法计数器74LS160/162RD =0时异步清零。RD = LD =1且ET=EP=1时,进行同步二进制计数。RD = LD =1且EPET=0时,计数器状态保持不变。RD =1、LD=0时同步置数。1 1、用同步清零端或置数、用同步清零端或置数端归零构成端归零构成N进置计数器进置计数器2 2、用异步清零端或置数、用异步清零端或置数端归零构成端归零构成N进置计数器进置计数器(1

42、)写出状态SN-1的二进制代码。(2)求归零逻辑,即求同步清零端或置数控制端信号的逻辑表达式。(3)画连线图。(1)写出状态SN的对应代码。(2)求归零逻辑,即求异步清零端或置数控制端信号的逻辑表达式。(3)画连线图。利用集成计数器的利用集成计数器的清零端清零端和和置数端置数端实现归零,从而构成按自然实现归零,从而构成按自然态序进行计数的态序进行计数的N N 进制计数器。进制计数器。在前面介绍的集成计数器中,清零、置数均采用同步方式的在前面介绍的集成计数器中,清零、置数均采用同步方式的有有74LS162 74LS163 74LS162 74LS163 ;清零采用异步方式、置数采用同步方;清零采

43、用异步方式、置数采用同步方式的有式的有74LS16174LS161、74LS16074LS160;74LS29074LS290则具有异步清零和异步则具有异步清零和异步置数功能。置数功能。5.5N进制进制计数器计数器为什么为什么?请看举例说明。请看举例说明。 用同步和异步置用同步和异步置 0 功能构成功能构成 N进制计数器的方法一样吗进制计数器的方法一样吗?利用同步置利用同步置 0 功能构成功能构成 N 进制计数器进制计数器步步骤骤相相同同( (1) ) 写出写出加反馈置加反馈置 0 信号时所对应的信号时所对应的计数状态。计数状态。( (2) ) 写出反馈置写出反馈置 0 函数。函数。( (3)

44、 ) 画连线图。画连线图。差差别别异异步置步置 0 计数器计数器加反馈置加反馈置 0 信号时所对应信号时所对应的计数状态为的计数状态为 SN 。同同步置步置 0 计数器计数器加反馈置加反馈置 0 信号时所对应信号时所对应的计数状态为的计数状态为 SN- -1 。 同步和异步置同步和异步置 0 功能构成功能构成 N 进制计数器的方法比较进制计数器的方法比较 例例 试利用试利用 CT74LS161 和和 CT74LS163 的置的置 0 功能功能 构成构成六进制六进制计数器。计数器。 解题思路:解题思路:“161”和和“163”均为均为 4 位二进制计数位二进制计数器,其态序表为:器,其态序表为:

45、00001611111501111410111300111211011101011010019000181110701106101050010411003010021000100000Q0Q1Q2Q3计计 数数 器器 状状 态态计数计数顺序顺序 在第在第 6 个计数脉冲输入时,使计数个计数脉冲输入时,使计数器置器置 0,即可实现六进制计数。,即可实现六进制计数。“161”为为异步置异步置 0,即,即只要置只要置 0 端出端出现有效电平,计数器立刻置零。现有效电平,计数器立刻置零。因此,应因此,应在输入第在输入第 6 个个 CP 脉冲脉冲 后,后,用用 S6 = 0110 作作为控制信号去控制为

46、控制信号去控制电路,产生置零信号加电路,产生置零信号加到异步置到异步置 0 端,使计数器立即置端,使计数器立即置 0。“163”为为同步置同步置 0,即,即置置 0 端出现有端出现有效电平时,计数器不能立刻置效电平时,计数器不能立刻置 0,只是为,只是为置置 0作好了准备,需要再输入一个作好了准备,需要再输入一个 CP 脉脉冲冲 ,才能置,才能置 0。因此,应在输入第因此,应在输入第( (6 - -1) )个个 CP 脉冲脉冲 后,后,用用 S6-1 = 0101 作为控制信作为控制信号去控制号去控制电路,产生置电路,产生置 0 信号加到异步置信号加到异步置零端。当输入第零端。当输入第 6 个

47、个 CP 脉冲时,计数器脉冲时,计数器置置 0。CT74LS161Q0Q1Q2Q3COD0CTTCTPCRLDD1D2D3CP 根据根据 S6 和和 CR 的有效电平写出的有效电平写出 画连线图画连线图计数输入计数输入输出端输出端( (1) ) 用用异步置异步置 0 的的 CT74LS161 构成构成六进制六进制计数器计数器解:解: 写出写出 S6 的二进制代码的二进制代码S6 = 0110 写出反馈置写出反馈置 0 函数函数12QQCR 1 11 1& ( (2) ) 用用同步置同步置 0 的的 CT74LS163 构成六进制计数器构成六进制计数器CT74LS163Q0Q1Q2Q3COD0C

48、TTCTPCRLDD1D2D3CP 画连线图画连线图输出端输出端 写出写出 S6- -1 的二进制代码的二进制代码S6- -1 = S5 = 0101 写出反馈置写出反馈置 0 函数函数02QQCR 1 11 1& 利用利用置数置数功能和置功能和置 0 功能构功能构成成N 进制计数器的原理有何异同?进制计数器的原理有何异同? 利用利用“161”和和 “ “163”的同步的同步置数置数功能也功能也可以构成可以构成 N 进制计数器。进制计数器。利用置数功能构成利用置数功能构成 N 进制计数器进制计数器置置0法法原原理理置置数数法法原原理理当输入第当输入第 N 个计数脉冲时,个计数脉冲时,利用置利用

49、置 0 功能功能对对计数器进行置计数器进行置 0 操作,操作,强迫计数器进入计数循环强迫计数器进入计数循环,从而实现从而实现 N 进制计数。这种计数器的进制计数。这种计数器的起始状态值起始状态值必须是零。必须是零。当输入第当输入第 N 个计数脉冲时,个计数脉冲时,利用置数功能利用置数功能对计数器进行置数操作,对计数器进行置数操作,强迫计数器进入计数强迫计数器进入计数循环循环,从而实现,从而实现 N 进制计数。这种计数器的进制计数。这种计数器的起始状态值就是置入的数,可以是零,也可起始状态值就是置入的数,可以是零,也可以非零,以非零,因此应用更灵活。因此应用更灵活。置置 0 有有同步和异步之分,

50、同步和异步之分,置数也有同步和异步之分。置数也有同步和异步之分。同步置数与异步置数的区别,同步置数与异步置数的区别,和和同步同步置置 0 与异步置与异步置 0 的区别的区别相似。相似。同步置数与异步置数的区别同步置数与异步置数的区别异步置数与时钟脉冲无关,只要异步置数与时钟脉冲无关,只要异步置数端出现有效异步置数端出现有效电平,置数输入端的数据立刻被置入计数器。电平,置数输入端的数据立刻被置入计数器。因此,利用异步置数功能构成因此,利用异步置数功能构成 N 进制计数器时,应在进制计数器时,应在输入第输入第 N 个个 CP 脉冲时,通过控制电路产生脉冲时,通过控制电路产生置数信号,使置数信号,使

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 办公、行业 > 各类PPT课件(模板)
版权提示 | 免责声明

1,本文(时序逻辑电路工作原理和方法课件.ppt)为本站会员(三亚风情)主动上传,163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。
2,用户下载本文档,所消耗的文币(积分)将全额增加到上传者的账号。
3, 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(发送邮件至3464097650@qq.com或直接QQ联系客服),我们立即给予删除!


侵权处理QQ:3464097650--上传资料QQ:3464097650

【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。


163文库-Www.163Wenku.Com |网站地图|