半导体设备全景分析-光刻工艺课件.pptx

上传人(卖家):晟晟文业 文档编号:4170061 上传时间:2022-11-16 格式:PPTX 页数:18 大小:1.11MB
下载 相关 举报
半导体设备全景分析-光刻工艺课件.pptx_第1页
第1页 / 共18页
半导体设备全景分析-光刻工艺课件.pptx_第2页
第2页 / 共18页
半导体设备全景分析-光刻工艺课件.pptx_第3页
第3页 / 共18页
半导体设备全景分析-光刻工艺课件.pptx_第4页
第4页 / 共18页
半导体设备全景分析-光刻工艺课件.pptx_第5页
第5页 / 共18页
点击查看更多>>
资源描述

1、正文目录正文目录 第一部分第一部分:半半导导体设备是体设备是行行业业基基石,国内石,国内企企业业即即将迎来历将迎来历史史转转折期折期 第二部分第二部分:芯芯片片制造工艺制造工艺流流程程拆拆分:薄膜分:薄膜工工艺艺介介绍及国内绍及国内外外龙龙头头对比分对比分析析 第三部分第三部分:芯芯片片制造工艺制造工艺流流程程拆拆分:刻蚀分:刻蚀工工艺艺介介绍及国内绍及国内外外龙龙头头对比分对比分析析 第四部分第四部分:芯芯片片制造工艺制造工艺流流程程拆拆分:光刻分:光刻工工艺艺介介绍及国内绍及国内外外龙龙头头对比分对比分析析 第五部分第五部分:芯芯片片制造工艺制造工艺流流程程拆拆分:清洗分:清洗工工艺艺介介

2、绍及国内绍及国内外外龙龙头头对比分对比分析析 第六部分第六部分:国国产产设备企业设备企业介绍介绍644.1集成电路中的光刻工艺简介集成电路中的光刻工艺简介硅片化合物光刻胶化合物硅片光刻胶化合物硅片硅片化合物光刻胶硅片化合物光刻胶硅片化合物预焙(去除溶剂)显影旋转涂胶刻蚀去胶与清洗气相成底膜掩模版光刻胶化合物硅片对准和曝光光刻胶化合物硅片曝光后烘焙光刻胶化合物硅片坚膜烘焙(光刻胶硬化)图:光刻步骤流程图图:光刻步骤流程图光刻的本质就是把临时电路结构复制到硅片 上,这些结构首先以图形形式制作在掩模版 上。光源透过掩模版把图形转移到硅片表面的光敏薄膜上。光刻工光刻工艺艺流程流程:涂:涂布、预布、预焙

3、焙、对、对准曝准曝光、显光、显 影、硬影、硬化化、刻、刻蚀、蚀、去除清去除清洗洗等一等一系列系列步骤步骤。所涉及到的主要设备有光刻、涂布、曝光显影、量测和清洗设备,其中价值量最大且技术壁垒 最高的部分是光刻机。目前高目前高端端光刻光刻机被机被荷兰荷兰A SM L公司公司垄断垄断,中低端,中低端光刻机光刻机供供应商有应商有Canon和和Nikon。国产光刻机进 展较慢,其余配套设备目前已经达到14/28nm 技术水平。资料来源:图解芯6片5 技术,国元证券研究中心4.2光刻机技术演进光刻机技术演进表:高端逻辑半导体的技术节点和对应的表:高端逻辑半导体的技术节点和对应的EUV曝光技术的蓝图曝光技术

4、的蓝图技术节点量产时间解像度 R数值孔径 NA工程系数 k1曝光次数7nm201919nm0.330.4615nm2020-202116nm0.330.3910.4623nm2022-2023202412nm0.330.550.29-0.460.461-312nm2026-?9nm0.550.372资料来源:半导体行业观察,国元证券研究中心第第一一代代第第二二代代第第三三代代第第四四代代第第五五代代800-250nm180-130nm45-20nm130-65nm20-7nm接触接近扫描投影浸没步进 步进投影极紫外g-line 436nmi-line 365nmKrF 248nmArF 193

5、nm极紫外13.5nm最小工艺最小工艺设备设备光源波长光源波长光刻核光刻核心心公式:公式:解解像度像度(R)=工程系工程系 数数(k1)x光源波长光源波长()/数值数值孔孔径径(N A)光刻机经历了5代产品发展,按照使用光源分别为g-line、i-line、KrF、ArF和EUV。2010年ASML推出第一台EUV光刻机NXE:3100,目前是全世界唯一一家能够设计和制造EUV设备的厂 商。技术节点的发展推动着半导体曝光技术解像度的发展,光刻设 备核心参数解析度可以通过调节数值孔径NA或工程系数k1来优化。图:光刻机技术迭代路径图图:光刻机技术迭代路径图资料来源:ASML公司公告,国元证券研究

6、中心664.2光刻机技术演进光刻机技术演进光刻光刻系系统中统中常常用用的的DUV准分子准分子激激光器光器是是248nm波长的波长的KrF和和193nm波长波长的的ArF,ASML崛崛起便起便是是靠性靠性价价比极比极高的高的浸入浸入式式光刻光刻快快速打速打败当败当时的时的日日系系企企业业成成 为行业龙头为行业龙头。使用193nm ArF光源的干法光刻,其工艺节点可达45/40nm,但是在小于45nm制程ArF光刻机会出现分辨率不足的问题。2003年,ASML 和台积电合作开发推出了第一款浸没式光刻机,浸没式光刻技术需要在光刻机投影物镜最后一个透镜的下表面与硅片上的光刻胶之间充满高折射率的液 体以

7、提高分辨率,浸没式光刻机是65-45nm节点最为成熟可靠设备。2003ASML世界第一台浸入式 光刻机1150i,NA=0.75型号1250i,NA=0.852004台积电用1150i制造第一块90nm芯片型号1400i,NA=0.932005第一台1250i 进入台积电2006第一块65nm芯片型号1700i,NA=1.2液体回收液体供给投影物镜工作台扫描运动浸没液体硅片传统干法光刻浸没式光刻光刻胶硅片投影物镜浸没液体图:干法与浸入式光刻机的区别图:干法与浸入式光刻机的区别掩膜 67资料来源:百度文库,国元证券研究中心4.3颠覆性改变:由浸入式光刻机变颠覆性改变:由浸入式光刻机变向向EUVE

8、UV光刻系统有三光刻系统有三个不个不同以往的关键同以往的关键改改变变EUV光源、反射式光光源、反射式光学学系系统统、真空腔、真空腔。为了为了提提供波长更短的供波长更短的光光源源,采采用高功率二氧用高功率二氧化化碳激碳激光光器照射锡金属器照射锡金属液液 滴滴激激发出发出13.5nm的光子的光子作作为光源为光源。同时,这种同时,这种光光也无法也无法以以玻璃透镜折玻璃透镜折射射,必须,必须以以硅与钼制成硅与钼制成的的特殊镀特殊镀膜膜反射反射镜镜,来,来修修正光的正光的前前进方向,反进方向,反射射镜的镜的制制造造难难 度非常大,精度以皮度非常大,精度以皮米米计计(万亿分之一米)(万亿分之一米)。因为每

9、一次反射会有因为每一次反射会有30%的能量损耗,一台的能量损耗,一台EUV机台要经过十多机台要经过十多面面反射镜,将光从光源反射镜,将光从光源导导到晶圆最到晶圆最后大后大概概 只能剩只能剩下不到下不到2%的的能量。能量。EUV光刻光刻机规划路径分为四代,目前处于第一代产品,应用于机规划路径分为四代,目前处于第一代产品,应用于7nm逻辑芯片量产线上。逻辑芯片量产线上。EUV尚存在巨大提升空间来维持未来制程的推进。尚存在巨大提升空间来维持未来制程的推进。图:图:EUV光刻机工作原理图光刻机工作原理图图:图:EUV光刻机发展规划路径光刻机发展规划路径EUV第一代,目前用于7nm逻辑芯片量产设备。EU

10、V第二代,改良现有技术,改进光学和阻焊层 将工程系数k1降低到0.40以下EUV第三代,通过导入多重图形工艺、新型掩膜 材料、新型resist材料等把看降到0.30以下第四代EUV,开发新的光学系统把数值孔径NA提 高到0.55 68资料来源:公开资料整理,国元证券研究中心资料来源:半导体行业观察,国元证券研究中心4.3颠覆性改变:由浸入式光刻机变颠覆性改变:由浸入式光刻机变向向EUV图:图:EUV光刻机核心部件拆分光刻机核心部件拆分EUV LCC:1997到2003年期间由英特尔,AMD,摩托罗拉、美国三大国家实验室、ASML、英 飞凌和Micron组成EUV LLC,集数百位科学家 发表了

11、大量论文证明了EUV光刻机的可行性,完成初期核心理论积累。光源:2013年ASML收购全球领先的准分子激 光器厂商Cymer,完成核心元件布局,为光源 技术提供了保障。Cymer拥有世界领先的光源 技术,2009年提供首个生产就绪的激光产生 的等离子EUV源。光学透镜、反射镜:2017年,公司以20亿美元 入股Carl Zeiss SMT,布局EUV核心元件光学 镜头和反射镜系统。除最复杂的光学系统外,供应商还有德国Heidenhain的工作台,美国MKS Instruments 的真空控制系统,台湾、日本的材料和零件等。资料来源:Berkeley Lab,国元证券研究中心694.3颠覆性改变

12、:由浸入式光刻机变颠覆性改变:由浸入式光刻机变向向EUV相相比比于于使使用用EUVLELE工艺工艺SADP工艺工艺SAQP工艺工艺加工步骤X2X4X5成本+10%+3050%+50%循环时间X2X4X587nm以上以上制制程程可可以以通过通过工艺工艺改改良良结结合浸合浸入入式式193nm光刻光刻机机满满足足制制造需造需求求,7nm以下以下制制程程EUV成为成为无无法法替替代的代的核核心心设设备备。7nm以上使用多重图像工艺虽然 可以解决尺寸问题,但是代价是翻倍的加工步骤、骤降的吞吐量和更高的加工成本。7nm以下引入EUV只需要一道光刻,可以大幅降低成本和提高吞吐 量。目前来看,目前来看,EUV

13、光刻机是光刻机是7nm以下以下先先进制程进制程的的最优最优解解,尚尚存巨存巨大大提提升升空空间间。目前量产化EUV设备还处于一代机阶段,后续机台端改良和加工工艺优化结 合延续摩尔定律。图:图:EUV光刻机可以优化现有制造流程光刻机可以优化现有制造流程图:图:E U V 光 刻 机 可 大 幅 度 降 低 逻 辑 和 存 储 加 工 步 数光 刻 机 可 大 幅 度 降 低 逻 辑 和 存 储 加 工 步 数不同制逻辑&存储完整光刻工艺所需加工步数对比,引入EUV后 只需要单次曝光,各方面得到大幅度改善。后期可以结合多重 曝光工艺来延续摩尔定律。70资料来源:ASML公司公告,国元证券研究中心资

14、料来源:ASML公司公告,国元证券研究中心4.4全球光刻机市场竞争格局全球光刻机市场竞争格局资料来源:ASML、Canon、Nikon公司公告,国元证券研究中心目目前前全全球光球光刻刻机机被被ASML、Canon和和Nikon三三家家供供应商包应商包揽揽,其其中中最最先先进进的的EUV机台只机台只有有ASML提供提供,中,中低低端端设设备备ASML也占据大也占据大 部部分分市市场场。ArF类光刻机市场ASML处于绝对竞争优势,Nikon只提供少量干法设备供给,KrF与i-line设备则是与Nikon和Canon相 互竞争。近几年光刻机市场需求呈上升趋势,ASML光刻设备数量市占率一直保持在60

15、%以上。图:图:2019年各类光刻机销售情况年各类光刻机销售情况图:近几年全球光刻机销售数量情况图:近几年全球光刻机销售数量情况资料来源:ASML、Canon、Nikon公司公告,国元证券研究中心65228226222031173462020406080100120140i-lineKrFArF DryArF ImEUVASMLCanonNikon1361691571982242295754806470114842140323535304170501001502002503003504002014201520162017201820192020Q1ASMLCanonNikon714.4全球光刻

16、机市场竞争格局全球光刻机市场竞争格局资料来源:根据ASML公司公告测算,国元证券研究中心我们根据ASML公司公告数据测算各光刻机价格,EUV设备平均每台高达1亿欧元,市场需求最多的浸入式ArF设备平均每台在0.56-0.58亿欧元,光刻机 系统随着功能的改善以及吞吐量、良率等参数指标的改善,价格也在逐步提高。产产业业转转移移和和建建厂厂潮的推潮的推动动,以以及全及全球球落落后后制程制程的的淘淘汰汰和产和产线线升升级级,全,全球球光光刻刻设备设备市市场场将将不断不断增增长长。预计2025年全球光刻机市场规模将达到4.917亿美元,期间以年化18.5%的复合增速增长。图:图:A S M L 各 类

17、 光 刻 机 价 格(百 万 欧 元)各 类 光 刻 机 价 格(百 万 欧 元)图:全 球 光 刻 机 市 场 规 模 及 预 测(百 万 美 元)图:全 球 光 刻 机 市 场 规 模 及 预 测(百 万 美 元)资料来源:中国报告网,国元证券研究中心01002003004005006002017201820192020E2021E2022E2023E2024E2025ECAGR=18.5%57.418.210.53.9107.7020406080100120201420152016201720182019ArF DryArF ImKrFi-lineEUV724.5ArF光刻机是市场主流需

18、求,有望成为国产光刻机突破光刻机是市场主流需求,有望成为国产光刻机突破点点ArF光刻机是目前市场主流机型,且长期保光刻机是目前市场主流机型,且长期保持持稳定增稳定增长长,鉴于我国,鉴于我国目目前的技术开发进前的技术开发进度度,ArF光刻机是最有望实光刻机是最有望实现现国产化的机型。国产化的机型。根据我们测算,ArF浸 入式光刻机市场空间大概50亿欧元,因为其泛用性广、量产技术成熟、维护保养相对简单等优点,下游需求旺盛。ArF Im机台可用于生产90-7nm大部分 主流制程产品,覆盖先进和成熟工艺两大领域。虽然先进制程前道开始导入EUV设备,但是同理,中后道也有望升级光刻设备,使用更多的ArF

19、Im机台 填补EUV设备侵占的份额,且需求远高于前道光刻设备。目前国内在浸入式光刻机领域部分技术有所突破,中短期有望实现整机国产化。图:图:A S M L 各 类 光 刻 机 价 格(百 万 欧 元)各 类 光 刻 机 价 格(百 万 欧 元)313111928393738455151466110282931390102030405060708090100201420152016201720182019EUVArF ImArF DryKrF资料来源:ASML、Canon、Nikon公司公告,国元证券研究中心734.6阿斯麦与国产光刻机对比分析阿斯麦与国产光刻机对比分析图:图:A S M L 营

20、 收 拆 分(百 万 欧 元)及 毛 净 利 率营 收 拆 分(百 万 欧 元)及 毛 净 利 率资料来源:ASML年报,国元证券研究中心回溯刻蚀龙头拉姆研究往期业绩变化,存储类设备业绩弹性最大回溯刻蚀龙头拉姆研究往期业绩变化,存储类设备业绩弹性最大,逻辑逻辑和和代代工工类类设备设备长期长期稳稳定定增增长长。刻蚀设备绝对龙头LamResearch全球市占率达50%以上,公司总营收从2013年36亿美 元到2019的96.5亿美元,其中NVM存储刻蚀设备业务是业绩高 速增长的主要动能。近五年近五年公司存储类刻蚀公司存储类刻蚀NVM+DRAM营收占营收占比维持在比维持在50%以上,以上,产品结产品

21、结构中以存储类设备为绝对核心构中以存储类设备为绝对核心。可以认为Lam Research 的崛起和存储刻蚀机的需求息息相关,参考海外龙头,国内存储 芯片制造企业以刚起步加持国产替代,掌握HAR刻蚀核心技术的 中微公司优先受益。46%45%46%45%45%20%22%22%23%24%22%18%0%10%20%30%40%50%400020000140001200010000800060002014201520162017201820192020Q1ArF Dryi-line44%ArF ImEUV45%KrFMetro&InspASMI与飞利浦 合资成立公 司,PAS2000步进式光刻机1

22、9841986PAS2500步进式光刻机建立 一定市场名气1995纳斯达克和阿姆斯 特丹交易所上市,收购飞利浦持有股 份成为独立公司收购硅谷集团,推出 双扫描系统及“双 阶”革命性技术世界第一台浸入式光刻机TWINSCANAT1150i;世界第一台193nm浸入式光刻 机TWINSCANAT1900i20012003-2007世界第一台EUV光刻机 NXE3100收购光源制造 商Cymer加速了 下一代光刻技 术的发展2010收购电子束测量工 具HMI增强了整体 光刻产品组合收购竞争对手 Mapper IP资产20191988进入亚洲市场1991PAS5500光刻机 拥有领先的生 产力和分辨率

23、201320162017收购德国光学系 统供应商SMT24.9%间接股 权,TWINSCANNXE3400B机台744.6阿斯麦与国产光刻机对比分析阿斯麦与国产光刻机对比分析上海微电子装备(集团)股份有限公司(简称SMEE)主要致力于半导体装备、泛半导体装备、高端智能装备的开发、设计、制造、销售及技术服务。公司设 备广泛应用于集成电路前道、先进封装、FPD 面板、MEMS、LED、Power Devices 等制造领域。公司发展至今,已经完全掌握了先进封装光刻机、高亮度LED 光刻机等高端智能制造领域的先进技术。公司的光刻机具有超大视场,可实现高产率生产;支持翘曲片、键合片曝光高精度套刻能力;

24、具有高精度温度控制能力,能够实现高能量曝光条件下的稳定生产;同时具备多种双面对准装置,支持可见 光直接测量。2002上海微电子装备有 限公司成立2009首台先进封装光刻机 产品SSB500/10A交付 用户2016首台暨国内首台前 道扫描光刻机交付 用户公司完成股改,正式更名为 上海微电子装备(集团)股 份有限公司2017中国首台新型显示用6 代高分辨率TFT曝光机 发运。201790nm光刻机项目正 式通过验收。20182012SSB500系列先进封装 光刻机首次实现海外 销售2013国产首台用于2.5代AMOLEDTFT电路制造的SSB225/10成功 交付用户SSX600系列光刻机SSB

25、500系列光刻机SSB300系列光刻机SSB200系列光刻机用于IC前道制造用于IC后道先进 封装用于LED、MEMS、Power Devices制造用于TFT曝光754.6阿斯麦与国产光刻机对比分析阿斯麦与国产光刻机对比分析“技术创新”是ASML核心推动力,ASML采取的“开放式创新”理念是通过与供应商、客户、研究机构、学院等建立开放研究网 络,合理共享技术与成果,大大加快了创新速度,快速形成技术壁垒。ASML的三大客户英特尔、三星、台积电、海力士均是其股东,共同研发每年可以为ASML分担巨额的经费,公司则给予股东优先 供货权。ASML通过一系列战略并购与入股,快速获得上游核心电子束、光源、

26、镜头技术及专利,既可以快速弥补自身技术需求,又可以提 高行业进入壁垒,保持垄断地位。凭借着与客户的合作研发以及对上游公司并购得到的核心技术与专利,ASML不断提高着在光刻 机设备领域的竞争力。ASML美国 cymer台湾 汉科威荷兰 Mapper美国 SVGLASML海力士Intel41亿美元台积电14亿美元三星9.75亿美元764.6阿斯麦与国产光刻机对比分析阿斯麦与国产光刻机对比分析EUV光光刻机刻机由由于于技技术壁术壁垒垒极高,极高,中短中短期期国国产产化化可可能能 性非常低性非常低。EUV光刻机从1997年EUV LLC组织成立 集全球顶级科研阵容完成可行性理论框架,到2010 年AS

27、ML推出第一台概念机3100,再到2019年台积 电开始使用EUV N7+工艺量产7nm芯片。20年以上 的开发周期、汇集全球的研发团队使EUV设备技术 壁垒极高,中短期国产化难度太高。真正真正使使用用最最广广泛泛的的、市市场场空空间间最最大大的的是是浸浸入入式式ArF光刻机,它的普适性更广,制程覆光刻机,它的普适性更广,制程覆盖盖90-7nm工艺。工艺。EUV机台量产化也刚刚起步,目前只有在最先进制 程的前、中段才会用到。浸入式ArF光刻机是技术最成熟、制程迭代最平滑的机台,突破难度远小于EUV。国内在这部分已经有一定技术储备,90nm以下浸入式光刻机是目前最有希望快速实现国产化的 设备。浸

28、浸入入式式光光刻刻机机主要主要分分为为几几大大部部分:分:1)双工件台系统:华卓精科;2)浸液系统:启尔机电;3)激光源系 统:科益虹源、上海神光激光;4)激光晶体和光学 元件:福晶科技、奥普光电;5)光学系统:国望光 学、国科精密;6)系统集成设计:上海微电。激光器曝光台光束矫正器(共3个)能量控制器测量台光束形状设置遮光器能量探测器掩模版掩膜台物镜测量设备硅片内部封闭框架减震装置ASML Twinscan简易工作原理图图图:ASML Twinscan简易工作原理图简易工作原理图资料来源:公开资料整理,国元证券研究中心774.6阿斯麦与国产光刻机对比分析阿斯麦与国产光刻机对比分析光源系统双工

29、件台物镜系统浸没系统华卓精科激光晶体及光学 元件整机制造上海微电子启尔机电奥普光电奥普光电是长春光机所出资 成立的高新技术企业。公司 所研制的光学晶体材料可用 于紫外光刻元件领域。上海神光激光技术制造装备研发有限公司北京科益虹源光电技术有限公司科益虹源具备高端准分子激光技术研究和产品化能力。公司目前承担“国家02重大专项”、“浸没光刻光源研发”任务,预计2020年将与 整机单位共同完成28nm国产光刻机的集成工 作。上海神光激光技术制造装备研发有限公司成立 于2020年4月。致力于攻克超短脉冲激光、准分 子激光和EUV光刻光源技术瓶颈。2017年,启尔机电承担国家02科技重大专 项“28nm节

30、点浸没式光刻机产品研发”的 核心部件之一的“浸液系统产品研制与能 力建设”项目。华卓精科承担了国家科技重大专项02专项中“浸没 式光刻机双工件台产品研制与能力建设”项目和“浸没双工件台平面光栅位置测量系统研发”项 目。2020年4月,攻克了双工件台技术,这将为国 产光刻机的发展提供极大帮助,并有希望在未来攻 破7nm技术。国科精密2018年,国科精密负责的02专项“高NA浸 没光学系统关键技术研究”项目通过验 收,标志着我国高端光刻机曝光光学系统 研制成功。北京国望光学科技有限公司国望光学着重推进13.5nm极紫外EUV国产 光刻机的研发进程。福晶科技是全球非线性光学 晶体的龙头。公司的非线性

31、 光学晶体市占率全球领先。上海微电子为中国光刻机国产化的中坚力量,目前打造 出的光刻机覆盖90nm至280nm制程。预计将在2021-2022 年交付第一台28nm工艺的国产浸没式光刻机。图:浸入式光刻机结构拆分及国产核心零件供应图:浸入式光刻机结构拆分及国产核心零件供应商商资料来源:公开资料整理,国元证券研究中心78文之文之后的免后的免责责条款条款部部分分4.6阿斯麦与国产光刻机对比分析阿斯麦与国产光刻机对比分析EUV分辨率13nm,0.33NA,吞吐量125WPHNXE3XXX系列NXE3400BNXE3400C吞吐量170WPH7/5nmArF浸入式NXT系列TWINSCAN NXT:1

32、980Di10nmTWINSCAN NXT:2000i7nm吞吐量275WPHArF干式XT系列KrF干式XT系列TWINSCAN XT:1060K分辨率205WPH80nmi-line干式XT系列TWINSCAN NXT:1965Ci分辨率250WPH20nmTWINSCANXT:1460K分辨率205WPH65nmTWINSCAN XT:860M分辨率240WPH110nmTWINSCAN XT:400L分辨率230WPH220nmPAS 5500系列早期产品提 供支持服务曝光显影刻蚀前光学检测 产品覆盖和焦点YieldStar 375F/380G刻蚀清洗光刻胶 涂布刻蚀后CD-SEMYi

33、eldStar1375F电子束扫描,CD 检测0.1nm,缺陷检测5nmHMI eP5主 要 用 于 3DNAND 和DRAM,缺陷检测10nmHMIeScan 430泛用性更强的 多功能设备HMI eScan 600支持与设备配套的光刻计算软件服务:如光刻补 偿、模拟、校准修正等,使软硬件协同作用提高良 率。请务必请务必阅阅i-读读lin正正eSSB600/10分辨率为280nmKrFSSC600/10分辨率为110nmArFSSA600/20分辨率为90nm上海微电子装备除前道IC光刻设备外,公 司在中后道先进封装和光 学检测方面布局较全面。794.7国产光刻设备企业总结国产光刻设备企业总

34、结光刻光刻 设备设备光刻光刻 机机涂涂胶胶 显影显影华卓精科上海微电子装备去胶去胶 清洗清洗M attson804.8小结小结EUV光光刻刻机机是是延续延续摩摩尔定尔定律律的关的关键键以及以及现现阶段阶段最最优解优解,而且而且改改善空善空间间巨巨大大。EUV是多方顶尖研发合作的产物,技术壁垒极高,短 期国产化可能极低。ArF浸入浸入式式光光刻刻机市机市场场空间空间大大概概50亿欧亿欧元元,可,可用用于生于生产产90-7nm大大部分部分主主流制流制程程产品产品,覆盖覆盖先先进和进和成成熟工熟工艺艺两大两大领领域域。虽然先进制 程前道开始导入EUV设备,但是同理,中后道也有望升级光刻设备,使用更多的ArF Im机台填补EUV设备侵占的份额,且需求远高于前道光刻设备。目前国内在浸入式光刻机领域部分技术有所突破,中短期有望实现整机国产化。浸入式光刻机主要分为几大部分:1)双工件台系统:华华卓卓精科精科;2)浸液系统:启启尔尔机电机电;3)激光源系统:科益科益虹虹源、源、上上海神光海神光 激光激光;4)激光晶体和光学元件:福福晶晶科技科技、奥普奥普光光电电;5)光学系统:国望国望光光学、学、国国科精科精密密;6)系统集成设计:上海微上海微电电。81

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 办公、行业 > 各类PPT课件(模板)
版权提示 | 免责声明

1,本文(半导体设备全景分析-光刻工艺课件.pptx)为本站会员(晟晟文业)主动上传,163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。
2,用户下载本文档,所消耗的文币(积分)将全额增加到上传者的账号。
3, 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(发送邮件至3464097650@qq.com或直接QQ联系客服),我们立即给予删除!


侵权处理QQ:3464097650--上传资料QQ:3464097650

【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。


163文库-Www.163Wenku.Com |网站地图|