简易逻辑分析仪作品解析课件.ppt

上传人(卖家):三亚风情 文档编号:2976599 上传时间:2022-06-18 格式:PPT 页数:45 大小:2.03MB
下载 相关 举报
简易逻辑分析仪作品解析课件.ppt_第1页
第1页 / 共45页
简易逻辑分析仪作品解析课件.ppt_第2页
第2页 / 共45页
简易逻辑分析仪作品解析课件.ppt_第3页
第3页 / 共45页
简易逻辑分析仪作品解析课件.ppt_第4页
第4页 / 共45页
简易逻辑分析仪作品解析课件.ppt_第5页
第5页 / 共45页
点击查看更多>>
资源描述

1、逻辑分析仪的原理与设计探讨逻辑分析仪的原理与设计探讨电子与信息工程系 罗 杰2008年年7月月逻辑分析仪的原理与设计探讨逻辑分析仪的原理与设计探讨参考文献:1. 谢自美主编谢自美主编.电子线路综合设计电子线路综合设计.武汉:华中科技大学出版社,武汉:华中科技大学出版社,2006年年.2. 林占江编著林占江编著.电子测量技术(第电子测量技术(第2版)版).北京:电子工业出版社,北京:电子工业出版社,2007年年.一、逻辑分析仪简介一、逻辑分析仪简介二、逻辑分析仪的基本组成及工作过程二、逻辑分析仪的基本组成及工作过程四、示波器显示波形的原理四、示波器显示波形的原理五、五、2003年赛题年赛题 简易

2、逻辑分析仪(简易逻辑分析仪(D题)题目题)题目六、系统总体设计方案六、系统总体设计方案七、主要单元电路的分析与设计七、主要单元电路的分析与设计三、逻辑分析仪的主要工作方式三、逻辑分析仪的主要工作方式逻辑分析仪的原理与设计探讨逻辑分析仪的原理与设计探讨一、逻辑分析仪(一、逻辑分析仪(Logic Analyzer)简介)简介 逻辑分析仪是数域测试最为典型的先进仪器,能很好地满足数域测试的各种要逻辑分析仪是数域测试最为典型的先进仪器,能很好地满足数域测试的各种要求求, ,自自19731973年美国年美国HPHP公司及公司及BIOMATIONBIOMATION分别研制问世以来,在短短时间内得到了飞速分

3、别研制问世以来,在短短时间内得到了飞速的发展。正因为它的问世,才出现了所谓的发展。正因为它的问世,才出现了所谓“数字域数字域”(简称数域)测量(简称数域)测量。由于它以。由于它以荧光屏显示为主要方式,故又有荧光屏显示为主要方式,故又有逻辑示波器逻辑示波器之称。之称。 逻辑分析仪不但能分析数字系统、计算机软件和硬件,而且能与计算机结合构逻辑分析仪不但能分析数字系统、计算机软件和硬件,而且能与计算机结合构成多种智能逻辑分析仪和个人仪器型的逻辑分析仪插件,某些逻辑分析仪还能与计成多种智能逻辑分析仪和个人仪器型的逻辑分析仪插件,某些逻辑分析仪还能与计算机开发系统、仿真器、数字化电压表、示波器等结合构成

4、完善的仪器系统。算机开发系统、仿真器、数字化电压表、示波器等结合构成完善的仪器系统。 表表1 时域、频域和数域的比较时域、频域和数域的比较一、逻辑分析仪(一、逻辑分析仪(Logic Analyzer)简介)简介 逻辑分析仪根据显示方式和定时方式的类别基本可分为两大类:逻辑分析仪根据显示方式和定时方式的类别基本可分为两大类:逻辑状态分析逻辑状态分析仪仪(Logic State Analyzer,即,即LSA),),逻辑定时分析仪逻辑定时分析仪(Logic Timing Analyzer,即即LTA),但两类分析仪的基本结构是相似的,目前多数逻辑分析仪兼有状态分析和),但两类分析仪的基本结构是相似

5、的,目前多数逻辑分析仪兼有状态分析和定时分析两种功能。定时分析两种功能。 逻辑分析仪不但能分析数字系统、计算机软件和硬件,而且能与计算机结合构逻辑分析仪不但能分析数字系统、计算机软件和硬件,而且能与计算机结合构成多种智能逻辑分析仪和个人仪器型的逻辑分析仪插件,某些逻辑分析仪还能与计成多种智能逻辑分析仪和个人仪器型的逻辑分析仪插件,某些逻辑分析仪还能与计算机开发系统、仿真器、数字化电压表、示波器等结合构成完善的仪器系统。算机开发系统、仿真器、数字化电压表、示波器等结合构成完善的仪器系统。 二、逻辑分析仪的基本组成及工作过程二、逻辑分析仪的基本组成及工作过程 图1 逻辑分析仪基本组成框图 u 待测

6、信号经多通道送入数据待测信号经多通道送入数据采集探头,与设定的门限电平进采集探头,与设定的门限电平进行比较,大于门限电平为高电平行比较,大于门限电平为高电平记记“1”状态,小于门限电平为低状态,小于门限电平为低电平记电平记“0”状态,而门限电平根状态,而门限电平根据被测系统特性来设定。据被测系统特性来设定。二、逻辑分析仪的基本组成及工作过程二、逻辑分析仪的基本组成及工作过程 图1 逻辑分析仪基本组成框图 u 待测信号经多通道送入数据采集探头,与设定的门限电平进行比较,大于门限电待测信号经多通道送入数据采集探头,与设定的门限电平进行比较,大于门限电平为高电平记平为高电平记“1”状态,小于门限电平

7、为低状态,小于门限电平为低电平记电平记“0”状态,而门限电平根据被状态,而门限电平根据被测系统特性来设定。测系统特性来设定。u在时钟作用下按节拍将采集的数据在时钟作用下按节拍将采集的数据存入输入寄存器,而时钟可以由外部存入输入寄存器,而时钟可以由外部输入,也可以由逻辑分析仪内部时钟输入,也可以由逻辑分析仪内部时钟发生器产生。发生器产生。二、逻辑分析仪的基本组成及工作过程二、逻辑分析仪的基本组成及工作过程 图1 逻辑分析仪基本组成框图 u逻辑分析仪用于观测触发数据或事件前后特定数据序列,因此触发识别电路在长长的数据逻辑分析仪用于观测触发数据或事件前后特定数据序列,因此触发识别电路在长长的数据流中

8、去寻找特定的(置入的)流中去寻找特定的(置入的)触发字或触发事触发字或触发事件,一旦找到就产生触发信号并送去控件,一旦找到就产生触发信号并送去控制数据的存贮和显示。制数据的存贮和显示。u触发信号也可以由外部输入,在触发触发信号也可以由外部输入,在触发信号作用下存贮器存贮数据,因存贮器信号作用下存贮器存贮数据,因存贮器容量有限,按先进先出(容量有限,按先进先出(First-In.First-Out,即,即FIFO)原则存贮,当存满后就)原则存贮,当存满后就不断以新数据依次代替旧数据。不断以新数据依次代替旧数据。二、逻辑分析仪的基本组成及工作过程二、逻辑分析仪的基本组成及工作过程 图1 逻辑分析仪

9、基本组成框图 u逻辑分析仪的显示与存贮是逻辑分析仪的显示与存贮是交替交替进行的。存贮结束后,已存入存贮器的内容被逐字进行的。存贮结束后,已存入存贮器的内容被逐字取出,在显示发生器的控制和配合下,取出,在显示发生器的控制和配合下,可以多种便于观察的形式把数据显示可以多种便于观察的形式把数据显示在在CRT上。显示完毕后产生存取指上。显示完毕后产生存取指令,再次采集、存贮数据,如此循环。令,再次采集、存贮数据,如此循环。三、逻辑分析仪的主要工作方式.数据的采集方式在时钟跳变沿处获取数据u分为:分为:状态分析(同步时钟或外时钟:状态分析(同步时钟或外时钟:)定时分析(异步时钟或内时钟:定时分析(异步时

10、钟或内时钟:)u采样过程是把采样时钟跳变时的采样过程是把采样时钟跳变时的信号状态(逻辑电平)记录下来,信号状态(逻辑电平)记录下来,并将该状态保持到下一个采样时钟并将该状态保持到下一个采样时钟沿。沿。 “触发”源于示波器,但逻辑状态分析仪是采用数据字触发。一旦触发,则对数据流中对分析有意义的一组数据(即数据块)进行采集并在CRT上显示,即在数据流中开一个观察窗口(Window)。这个窗口中的全部数据叫一个跟踪(Trace)。因此,触发用来决定跟踪在数据中的位置。 逻辑状态分析仪采用“字识别”触发,即将输入的数据字与操作者预置的特定字相比较,若吻合便产生一次触发。特征字(触发字)由仪器面板上的“

11、触发字选择”来设定预置。(事件触发类似)。 逻辑状态分析仪的触发方式很多,但最基本的触发方式有三种:始端触发(开始触发)、终端触发(终止触发)、延迟触发。.触发与跟踪方式触发与跟踪方式.触发与跟踪方式触发与跟踪方式触发:触发:用逻辑分析仪观察大量数据的方法是:设置特定的观察起点、终点或与被分析用逻辑分析仪观察大量数据的方法是:设置特定的观察起点、终点或与被分析数据有一定关系的某一个参考点,这个特定的点在数据流中一旦出现,便形成一次触数据有一定关系的某一个参考点,这个特定的点在数据流中一旦出现,便形成一次触发事件,相应地把数据存入存储器。这个过程称为触发。发事件,相应地把数据存入存储器。这个过程

12、称为触发。触发字触发字:参考点是一个数据字,也可能是字或事件的序列,称为触发字。:参考点是一个数据字,也可能是字或事件的序列,称为触发字。跟踪跟踪:由逻辑分析仪收集并在显示屏上显示出来的一组数据称为一次跟踪。由:由逻辑分析仪收集并在显示屏上显示出来的一组数据称为一次跟踪。由触发触发决决定定跟踪跟踪在数据流中的位置。在数据流中的位置。3种触发方式:种触发方式:始端触发、终端触发、延迟触发始端触发、终端触发、延迟触发始端触发:始端触发:又叫触发开始跟踪。一旦识别到触发字便触发,以被触又叫触发开始跟踪。一旦识别到触发字便触发,以被触发时的数据(即触发字)为存贮的第一个有效数据,直到存贮器存发时的数据

13、(即触发字)为存贮的第一个有效数据,直到存贮器存满为止,因而触发字是存贮和显示的第一个有效数据。如图(满为止,因而触发字是存贮和显示的第一个有效数据。如图(a)所)所示。示。终端触发:终端触发:又叫触发终止跟踪。在触发以前,存贮器就以先进先出又叫触发终止跟踪。在触发以前,存贮器就以先进先出方式存贮数据,当存满后开始在数据流中搜索触发字,与此同时存方式存贮数据,当存满后开始在数据流中搜索触发字,与此同时存贮器继续以新数据更新旧数据。一旦发现触发字,就立即停止存贮贮器继续以新数据更新旧数据。一旦发现触发字,就立即停止存贮有效数据,因而有效数据,因而 触发字就是存贮和显示的最后一个有效数据。触发字就

14、是存贮和显示的最后一个有效数据。 延迟触发:延迟触发:就是在数据流中搜索到触发字时并不是立即进行跟踪,而是经过一定的延就是在数据流中搜索到触发字时并不是立即进行跟踪,而是经过一定的延迟才跟踪。因此延迟触发是改变数据窗口与触发字间相对关系的一种触发,它与始、迟才跟踪。因此延迟触发是改变数据窗口与触发字间相对关系的一种触发,它与始、终端触发配合工作,如下图所示。其中(终端触发配合工作,如下图所示。其中(a)图为始端触发加延迟,()图为始端触发加延迟,(b)图为终端)图为终端触发加延迟。触发加延迟。3种触发方式:种触发方式:始端触发、终端触发、延迟触发始端触发、终端触发、延迟触发.数据的存储数据的存

15、储根据所采用的存储器的不同,存储方式可分为两类。根据所采用的存储器的不同,存储方式可分为两类。()移位寄存器存储()移位寄存器存储 移位寄存器式存贮器每存入一个新数据,以前存储的数据就移位一移位寄存器式存贮器每存入一个新数据,以前存储的数据就移位一次,待存满后最早存入的数据就被移出。以先入先出方式存储数据。次,待存满后最早存入的数据就被移出。以先入先出方式存储数据。()随机存储器存储()随机存储器存储使用随机存储器(使用随机存储器(RAMRAM)作为逻辑分析仪的存储器,每个存储单元由)作为逻辑分析仪的存储器,每个存储单元由地址计数器进行选址。目前的逻辑分析仪大都采取这种方法存储数据。地址计数器

16、进行选址。目前的逻辑分析仪大都采取这种方法存储数据。.数据显示的区别数据显示的区别为了便于对数字系统进行分析,逻辑分析仪有多种显示方式,其中状态表和定为了便于对数字系统进行分析,逻辑分析仪有多种显示方式,其中状态表和定时图显示分别是状态分析仪和定时分析仪的基本显示方式。时图显示分别是状态分析仪和定时分析仪的基本显示方式。映射图显式映射图显式可以观察系统运行全貌的动态情况。它用一系列光点表示一个数据流,可以观察系统运行全貌的动态情况。它用一系列光点表示一个数据流,其主要原理是把逻辑分析仪内存中获取的每一个数据字分成低位和高位两部分,再其主要原理是把逻辑分析仪内存中获取的每一个数据字分成低位和高位

17、两部分,再分别经分别经D/A转换成模拟信号,驱动转换成模拟信号,驱动CRT的的x、y偏转板,从而合成显示一个光点。偏转板,从而合成显示一个光点。四、示波器显示波形的原理四、示波器显示波形的原理u要用示波器作为逻辑分析仪的显示设备,示波器采用要用示波器作为逻辑分析仪的显示设备,示波器采用X-Y工作方式,工作方式,X通道加锯齿通道加锯齿波信号,波信号,Y通道分时加入通道分时加入8路被测信号。为此需要了解示波器显示波形的原理:路被测信号。为此需要了解示波器显示波形的原理:1. 电子束在电子束在vY与与 vX作用下的运动,有作用下的运动,有4种情况:种情况:(1) 当当X、Y两对偏转板上不加任何信号两

18、对偏转板上不加任何信号 ,则光点出现在荧光屏的中心位置,不产生任何偏,则光点出现在荧光屏的中心位置,不产生任何偏转。转。0YX(2) 垂直偏转板上加电压垂直偏转板上加电压 ,而水平偏转上加的电压,而水平偏转上加的电压vX =0,则光点仅在垂直方向随,则光点仅在垂直方向随 vY变化而偏变化而偏转。光点的轨迹为一垂直线,其长度正比于转。光点的轨迹为一垂直线,其长度正比于vY 的峰峰值的峰峰值 (2Vm),如图,如图2所示。反之,所示。反之, vY=0, 则光屏上显示一条水平线。则光屏上显示一条水平线。tVsinmYxmsinVt(3) 如 ,则电子束同时受两对偏转板电场力的作用,光点沿X轴、Y轴合

19、成方向运动,其轨迹为一斜线,如图4所示。 tVsinmXY(3) 如 ,则电子束同时受两对偏转板电场力的作用,光点沿X轴、Y轴合成方向运动,其轨迹为一斜线,如图4所示。 tVsinmXY(4) 若若 ,而在,而在X转板上加上一个与转板上加上一个与 vY 周期相同的周期相同的 锯齿波电压锯齿波电压 ,则在荧光屏上可真实,则在荧光屏上可真实地显示地显示vY 的波形,如图的波形,如图5所示。所示。tVsinmY四、示波器显示波形的原理四、示波器显示波形的原理2. 同步概念同步概念 前面讨论的是前面讨论的是TX=TY的情况。如果丁的情况。如果丁TX=2TY,则可以在荧光屏上观察到两个周期的信号电压波形

20、,则可以在荧光屏上观察到两个周期的信号电压波形,如图如图6所示。如果波形重复出现,而且完全重叠,就可以看到一个稳定的图象。图所示。如果波形重复出现,而且完全重叠,就可以看到一个稳定的图象。图7是不稳定的情况。是不稳定的情况。四、示波器显示波形的原理四、示波器显示波形的原理2. 同步概念同步概念 因此,为了在荧光屏上获得稳定的图象,因此,为了在荧光屏上获得稳定的图象,TX(包括正程与回程包括正程与回程)与与TY必须成整数倍关系,即必须成整数倍关系,即 (n为正整为正整数数),以保证每次扫描的起始点都对应信号电压,以保证每次扫描的起始点都对应信号电压 的相同相位点上,这种过程称为的相同相位点上,这

21、种过程称为“同步同步”。五、五、2003年大赛题年大赛题 简易逻辑分析仪(简易逻辑分析仪(D题)题)一、任务一、任务 设计并制作一个设计并制作一个8路数字信号发生器与简易逻辑分析仪,其结构框图如图路数字信号发生器与简易逻辑分析仪,其结构框图如图1所所示:示:二、要求二、要求 1、基本要求、基本要求(1)制作数字信号发生器)制作数字信号发生器 能产生能产生8路可预置的循环移位逻辑信号序列,输出信号为路可预置的循环移位逻辑信号序列,输出信号为TTL电平,序列时钟电平,序列时钟频率为频率为100Hz,并能够重复输出。逻辑信号序列示例如图,并能够重复输出。逻辑信号序列示例如图2所示。所示。(2)制作简

22、易逻辑分析仪)制作简易逻辑分析仪 a具有采集具有采集8路逻辑信号的功能,并可设置单级触发字。信号采集的触发条件路逻辑信号的功能,并可设置单级触发字。信号采集的触发条件为各路被测信号电平与触发字所设定的逻辑状态相同。在满足触发条件时,能对被测为各路被测信号电平与触发字所设定的逻辑状态相同。在满足触发条件时,能对被测信号进行一次采集、存储。信号进行一次采集、存储。 b能利用模拟示波器清晰稳定地显示所采集到的能利用模拟示波器清晰稳定地显示所采集到的8路信号波形,并显示触发点路信号波形,并显示触发点位置。位置。 c8位输入电路的输入阻抗大于位输入电路的输入阻抗大于50k,其逻辑信号门限电压可在,其逻辑

23、信号门限电压可在0.254V范围范围内按内按16级变化,以适应各种输入信号的逻辑电平。级变化,以适应各种输入信号的逻辑电平。 d每通道的存储深度为每通道的存储深度为20bit。二、要求二、要求 2、发挥部分、发挥部分(1)能在示波器上显示可移动的时间标志线,并采用)能在示波器上显示可移动的时间标志线,并采用LED或其它方式显示时间标或其它方式显示时间标志线所对应时刻的志线所对应时刻的8路输入信号逻辑状态。路输入信号逻辑状态。 (2)简易逻辑分析仪应具备)简易逻辑分析仪应具备3级逻辑状态分析触发功能,即当连续依次捕捉到设定级逻辑状态分析触发功能,即当连续依次捕捉到设定的的3个触发字时,开始对被测

24、信号进行一次采集、存储与显示,并显示触发点位置。个触发字时,开始对被测信号进行一次采集、存储与显示,并显示触发点位置。3级触发字可任意设定(例如:在级触发字可任意设定(例如:在8路信号中指定连续依次捕捉到两路信号路信号中指定连续依次捕捉到两路信号11、01、00作为三级触发状态字)。作为三级触发状态字)。 (3)触发位置可调(即可选择显示触发前、后所保存的逻辑状态字数)。)触发位置可调(即可选择显示触发前、后所保存的逻辑状态字数)。 (4)其它(如增加存储深度后分页显示等)。)其它(如增加存储深度后分页显示等)。 六、系统总体设计方案方案一:纯单片机方式。单片机虽然具备灵活的控制方式,但受工作

25、速率的影响,方案一:纯单片机方式。单片机虽然具备灵活的控制方式,但受工作速率的影响,可能会使示波器显示屏幕抖动和出现明显的回扫线。可能会使示波器显示屏幕抖动和出现明显的回扫线。方案二:采用方案二:采用CPLD/FPGA(或带有(或带有IP核的核的CPLD/FPGA)方式。)方式。方案三:采用单片机方案三:采用单片机+FPGA方式。即用单片机作为主处理器,完成人机界面、方式。即用单片机作为主处理器,完成人机界面、系统控制和触发控制。用系统控制和触发控制。用FPGA作为协处理器,完成作为协处理器,完成8路路TTL数据的采集与普通模数据的采集与普通模拟示波器的显示控制。这种方案兼顾了上述两种方案的优

26、点,可以在硬、软件的结拟示波器的显示控制。这种方案兼顾了上述两种方案的优点,可以在硬、软件的结合上,使设计达到整体优化的效果。合上,使设计达到整体优化的效果。方案四:采用方案四:采用 FPGA+Nios II 方案,使用方案,使用DE2完成设计。完成设计。六、系统总体设计方案数字数字信号信号发生发生器器输输入入信信号号调调理理存储存储缓冲缓冲区区接示波器接示波器Z轴轴亮亮 度度控控 制制锯齿波产锯齿波产 生生数字信号数字信号输出输出接示波器接示波器X轴轴接示波器接示波器Y轴轴240128点阵液点阵液晶显示晶显示单片机单片机AT89S52键盘输入键盘输入FIFO RAM同步显同步显示模块示模块触

27、发识别触发识别模块模块FPGA Cylone1C3控制控制六、系统总体设计方案FPGA+Nios II 方案,使用方案,使用DE2开发板。开发板。7.1 “8位数字信号发生器位数字信号发生器”设计设计 题目要求序列时钟频率为题目要求序列时钟频率为100Hz,TTL电平输出,因此设计方案有两种:电平输出,因此设计方案有两种: (1)用一片单片机实现了)用一片单片机实现了8位数位数字信号发生器的功能,用开关进行循字信号发生器的功能,用开关进行循环字的预置,以软件实现循环移位。环字的预置,以软件实现循环移位。 (2)用)用CPLD或中规模集成的移位寄存器实现,但效果均不如单片机方案灵活或中规模集成的

28、移位寄存器实现,但效果均不如单片机方案灵活方便,性价比较低。方便,性价比较低。8 9 C 5 1产 生 序 列拨 码开 关预 置数 据信 号 序 列 同 步 时 钟 脉 冲七、主要单元电路的分析与设计七、主要单元电路的分析与设计7.1 “8位数字信号发生器位数字信号发生器”设计设计7.2 输入信号调理电路设计输入信号调理电路设计 题目要求:输入阻抗大于题目要求:输入阻抗大于50k,其逻辑信号门限电压可在,其逻辑信号门限电压可在0.254V范围内按范围内按16级级变化。也即起始电压变化。也即起始电压 a1=0.25V,末尾电压,末尾电压 a16=4V,根据等差数列理论,其步长为,根据等差数列理论

29、,其步长为 输入信号经过一个跟随器,然后送到一个可调门限电压的电压比较器输入信号经过一个跟随器,然后送到一个可调门限电压的电压比较器(MAX912),并输出),并输出TTL电平信号。电平信号。因此,对应的因此,对应的16级逻辑门限电压依次为:级逻辑门限电压依次为:0.25V、0.5V、 3.75V、4.00V。VVVaanaadn25.01525.0411611161数字式可编程的放大电路 DAC D2 D6 O D0 D1 2R 2R 2R 2R R R D7 R R 2R 2R + RF=R IOUT1 IOUT2 VREF RFB S0 S1 S2 S7 S6 A (LSB) (MSB)

30、 M I1 I 017R E FO01780(222)2VDDDRRL017017BOR E FR E F88222 22DDDNVV L O + RF A I R 数字式可编程的放大电路 DAC 017017BOR E FR E F88222 22DDDNVV L当当VREF=5V时,时,改变输入的数字量(改变输入的数字量( NB)即可改变衰减器的衰减倍数。)即可改变衰减器的衰减倍数。当步长为当步长为0.25V ,其数字量的步长,其数字量的步长NB =12。BOB85 V0 .0 1 9 5 ( V )2NN 7.3 数据存储模块 题目要求示波器上显示8路波形(即行数Z=8),每行位数m1=

31、20 bits,即每页存储深度: 可以利用FPGA(例如Cyclone 1C3)内部的双口RAM来实现,存储容量可以扩展为100字节或更多。 控制电路设计(略)BytebitZmM20820117.3 数据存储模块 将存储器的读、写分离,在将数据写入将存储器的读、写分离,在将数据写入SRAM时可采用较高频率的时钟(采样时时可采用较高频率的时钟(采样时钟),而读钟),而读SRAM数据时可采用不同于采样时钟频率的串行频率时钟读出数据。数据时可采用不同于采样时钟频率的串行频率时钟读出数据。7.4 显示驱动电路 包括:锯齿波扫描、输入信号扫描、包括:锯齿波扫描、输入信号扫描、Z轴的显示控制。轴的显示控

32、制。屏幕上要显示屏幕上要显示8路波形,因此外部路波形,因此外部D/A必须分时复用。但为了避免回扫线对显示效必须分时复用。但为了避免回扫线对显示效果的影响,果的影响,X轴输入与轴输入与Y轴输入必须保持严格同步,而且轴输入必须保持严格同步,而且DAC还必须具备足够快的转还必须具备足够快的转换速率。换速率。示波器的示波器的Z轴具有亮度调节功能,通过控制轴具有亮度调节功能,通过控制Z轴的输入电压来实现触发位置的标定轴的输入电压来实现触发位置的标定和回扫线的消隐。当和回扫线的消隐。当Z轴输入电压为轴输入电压为0V左右时,示波器显示正常波形;当左右时,示波器显示正常波形;当Z轴输入电轴输入电压为压为5V左

33、右时,示波器显示灰暗波形;当左右时,示波器显示灰暗波形;当Z轴输入电压为轴输入电压为10V左右时,示波器显示全左右时,示波器显示全灭。灭。利用利用FPGA直接控制直接控制Z轴,而轴,而FPGA的的I/O输出电压为输出电压为03.3V,必须在外面必须附,必须在外面必须附加驱动电路。驱动电路可以采用加驱动电路。驱动电路可以采用D/A的方式,但的方式,但Z轴对小范围的连续电压输入并不敏轴对小范围的连续电压输入并不敏感,因此我们直接利用比较器和模拟开关,实现对时间轴任意位置的亮、暗、灭三感,因此我们直接利用比较器和模拟开关,实现对时间轴任意位置的亮、暗、灭三级标定,电路如图级标定,电路如图4所示。所示。7.5 触发控制电路 (待完善)(待完善)软件设计1. 系统软件主程序设计系统软件主程序设计软件设计2. 示波器显示控制流程示波器显示控制流程软件设计3. 数据采集及触发控制流程数据采集及触发控制流程 谢 谢 ! 2008.7

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公、行业 > 各类PPT课件(模板)
版权提示 | 免责声明

1,本文(简易逻辑分析仪作品解析课件.ppt)为本站会员(三亚风情)主动上传,163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。
2,用户下载本文档,所消耗的文币(积分)将全额增加到上传者的账号。
3, 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(发送邮件至3464097650@qq.com或直接QQ联系客服),我们立即给予删除!


侵权处理QQ:3464097650--上传资料QQ:3464097650

【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。


163文库-Www.163Wenku.Com |网站地图|