门电路与组合逻辑电路培训课件.ppt

上传人(卖家):晟晟文业 文档编号:4836864 上传时间:2023-01-16 格式:PPT 页数:185 大小:4.32MB
下载 相关 举报
门电路与组合逻辑电路培训课件.ppt_第1页
第1页 / 共185页
门电路与组合逻辑电路培训课件.ppt_第2页
第2页 / 共185页
门电路与组合逻辑电路培训课件.ppt_第3页
第3页 / 共185页
门电路与组合逻辑电路培训课件.ppt_第4页
第4页 / 共185页
门电路与组合逻辑电路培训课件.ppt_第5页
第5页 / 共185页
点击查看更多>>
资源描述

1、门电路与组合逻辑电路门电路与组合逻辑电路(优选)门电路与组合逻辑电路9.1 数字电路概述数字电路概述脉冲信号和数字信号脉冲信号和数字信号电子电路中的信号电子电路中的信号模拟信号模拟信号数字信号数字信号随时间连续变化的信号随时间连续变化的信号时间和幅度都是离散的时间和幅度都是离散的如:正弦波、锯齿波信号等如:正弦波、锯齿波信号等如:脉冲信号等如:脉冲信号等1.脉冲信号的参数脉冲信号的参数AtWtftr脉冲幅度:脉冲幅度:A脉冲宽度:脉冲宽度:tW脉冲前沿:脉冲前沿:tr脉冲后沿:脉冲后沿:tf脉冲周期:脉冲周期:T脉冲频率:脉冲频率:f=1/TT0.9 A0.5 A0.1 A正脉冲:正脉冲:跃变

2、后的跃变后的电位比跃电位比跃变前高变前高0V3V(-3V)(0V)负脉冲:负脉冲:跃变后的跃变后的电位比跃电位比跃变前低变前低0V-3V(3V)(0V)正、负脉冲信号正、负脉冲信号脉冲信号脉冲信号产品数量的统计。产品数量的统计。数字表盘的读数。数字表盘的读数。数字电路信号:数字电路信号:tu脉冲信号脉冲信号2、数字信号、数字信号研究数字电路时注重电路输出、输入间的研究数字电路时注重电路输出、输入间的逻辑关系,因此不能采用模拟电路的分析逻辑关系,因此不能采用模拟电路的分析方法。主要的工具是逻辑代数,电路的功方法。主要的工具是逻辑代数,电路的功能用逻辑状态表(真值表)、逻辑表达式能用逻辑状态表(真

3、值表)、逻辑表达式及波形图表示。及波形图表示。在数字电路中,三极管工作在开关状态,在数字电路中,三极管工作在开关状态,即工作在饱和和截止状态。即工作在饱和和截止状态。晶体管的开关作用晶体管的开关作用晶体管工作的区域晶体管工作的区域(1 1)截止区)截止区特点:特点:(1)集电结、发射结反偏)集电结、发射结反偏(2)基极电流)基极电流IB=0(3)集电极电流)集电极电流Ic=0CEBUo+uccUi(4)输出电压输出电压Uo=UccUCE=Ucc 好象开关断开一样好象开关断开一样(2 2)放大区)放大区特点:特点:(1)发射结正偏、集电结反偏)发射结正偏、集电结反偏(2)基极电流、集电极电流成线

4、性关系)基极电流、集电极电流成线性关系 Ic=IBCEBUo+uccUi(3)输出电压输出电压Uo=Ucc-IcRc(3 3)饱和区)饱和区特点:特点:(1)发射结正偏、集电结正偏)发射结正偏、集电结正偏(2)基极电流、集电极电流不成线性关系)基极电流、集电极电流不成线性关系 Ic IB(4)输出电压输出电压Uo=0(3)集射极电压(即饱和管压降)集射极电压(即饱和管压降)UCE=0.3CEBUo+uccUiUCE=0 好象开关闭和一样好象开关闭和一样R1R2AF+uccuAtuFt+ucc0.3V三极管的开关特性:三极管的开关特性:截止截止饱和饱和 UiUoKUccRK开开-Uo=1,输出高

5、电平输出高电平K合合-Uo=0,输出低电平输出低电平可用三极可用三极管代替管代替十进制:十进制:以十为基数的计数体制以十为基数的计数体制表示数的十个数码:表示数的十个数码:0、1、2、3、4、5、6、7、8、9遵循逢十进一的规律遵循逢十进一的规律157=012107105101 常用数制常用数制位权:位权:10n二进制二进制二进制:二进制:以二为基数的计数体制以二为基数的计数体制表示数的两个数码:表示数的两个数码:0、1遵循逢二进一的规律遵循逢二进一的规律 iiiB2KN)(1001)B=012321202021 =(9)D位权:位权:2n用电路的两个状态用电路的两个状态-开、关来表示二开、关

6、来表示二进制数,数码的存储和传输简单、可进制数,数码的存储和传输简单、可靠。靠。位数较多,使用不便;不合人们的习位数较多,使用不便;不合人们的习惯,输入时将二进制转换成二进制,惯,输入时将二进制转换成二进制,运算结果输出时再转换成十进制数。运算结果输出时再转换成十进制数。如:“与非与非表达式”。0 1 0 1 0 1 1 1 0 1 10 0 1 0门电路主要有:与门、或门、非门、与非门、或非门、异或门等。八选一集成数据选择器74LS15174LS138是最小项非的译码09十个状态,用四位二进制码表示一位十进制数:3)根据状态表,写出逻辑式。Ic IBCT7449与七段显示器件的连接:3、用逻

7、辑代数对逻辑式进行化简或变换。D Y00V 逻辑“0”m0 m7为A2A1A0的8个最小项0 0 0 1 0 1 1 0 0 0 01 1对集成门电路输入、输出端的处理条件A、B有一个或一个以上具备,则事件F 发生0、1、2、3、4、5、6、7、8、9输 出二进制与十进制之间的转换二进制与十进制之间的转换二进制转换为十进制二进制转换为十进制按权展开按权展开(1011)B=(11)D012321212021+十进制转换为二进制十进制转换为二进制求商取余求商取余(25)D=(11001)B十进制与二进制之十进制与二进制之间的转换,可以用二除十间的转换,可以用二除十进制数,余数是二进制数进制数,余数

8、是二进制数的第的第0位(位(K0),然后依),然后依次用二除所得的商,余数次用二除所得的商,余数依次是第一位(依次是第一位(K1)、第二位(第二位(K2)、。225 余余 1 K0122 余余 0 K162 余余 0 K232 余余 1 K312 余余 1 K40转换过程:转换过程:(25)D=(11001)B高位高位低位低位二二十进制(十进制(BCD码):码):用二进制码表示的十进制数:用二进制码表示的十进制数:09十个状态,用四位二进制码表示一位十进制十个状态,用四位二进制码表示一位十进制数:数:0000000110001001010011010011001001011100BCD码码十进

9、制数十进制数21037658949.2 逻辑代数和逻辑函数逻辑代数和逻辑函数逻辑代数逻辑代数在数字电路中,我们要研究的是电路的输入在数字电路中,我们要研究的是电路的输入输出之间的逻辑关系,所以数字电路又称逻辑电输出之间的逻辑关系,所以数字电路又称逻辑电路,相应的研究工具是逻辑代数(布尔代数)。路,相应的研究工具是逻辑代数(布尔代数)。在逻辑代数中,逻辑函数的变量只能取两个在逻辑代数中,逻辑函数的变量只能取两个值(二值变量),即值(二值变量),即0和和1,中间值没有意义,这,中间值没有意义,这里的里的0和和1只表示两个对立的逻辑状态,如电位的只表示两个对立的逻辑状态,如电位的低高(低高(0表示低

10、电位,表示低电位,1表示高电位)、开关的开表示高电位)、开关的开合等。合等。(1)“与与”逻辑运算和与门逻辑运算和与门A、B、C都具备时,事件都具备时,事件F才发生。才发生。EFABC设设开关闭为开关闭为“1”开关开为开关开为“0”灯亮为灯亮为“1”不亮为不亮为“0”则则A、B、C与灯与灯F的关系的关系为为“与与”逻辑逻辑与逻辑与逻辑1.基本逻辑运算及其表示方法基本逻辑运算及其表示方法&ABCF逻辑符号逻辑符号二极管与门电路二极管与门电路BAFVD1VD2R+5V输入:输入:A、B:3V 逻辑逻辑“1”输入:输入:A、B:0V 逻辑逻辑“0”输出:输出:F:3V 逻辑逻辑“1”;0V 逻辑逻辑

11、“0”F=ABC逻辑式逻辑式逻辑与逻辑与(逻辑乘)(逻辑乘)AFBC00001000010011000010101001101111逻辑状态表逻辑状态表全全1出出1有有0出出0(2)“或或”逻辑运算和或门逻辑运算和或门A、B、C只有一个具备时,事件只有一个具备时,事件F就发生。就发生。AEFBC开关闭为开关闭为“1”开关开为开关开为“0”灯亮为灯亮为“1”不亮为不亮为“0”则则A、B、C与灯与灯F的关系的关系为为“或或”逻辑逻辑或逻辑或逻辑 1ABCF逻辑符号逻辑符号BAFVD1VD2R-5V二极管或门电路二极管或门电路输入:输入:A、B:3V 逻辑逻辑“1”0V 逻辑逻辑“0”输出:输出:F

12、 3V 逻辑逻辑“1”0V 逻辑逻辑“0”F=A+B+C或逻辑式或逻辑式逻辑或逻辑或(逻辑加逻辑加)AFBC00001001010111010011101101111111逻辑状态表逻辑状态表全全0出出0有有1出出1(3)“非非”逻辑运算和非门逻辑运算和非门A具备时具备时,事件,事件F不发生;不发生;A不具备时,事件不具备时,事件F发生。发生。AEFR非逻辑非逻辑开关闭为开关闭为“1”开关开为开关开为“0”灯亮为灯亮为“1”灯不亮为灯不亮为“0”则开关则开关A与灯与灯F的关系的关系为为“非非”逻辑逻辑逻辑符号逻辑符号三极管非门电路三极管非门电路输入:输入:A:3V 逻辑逻辑“1”0V 逻辑逻辑

13、“0”输出:输出:F 3V 逻辑逻辑“1”0V 逻辑逻辑“0”AF1AFRB+UCCRC+3V限幅二极管限幅二极管逻辑式逻辑式逻辑非逻辑非逻辑反逻辑反逻辑状态表逻辑状态表AF AF0110有有1出出0有有0出出14、根据输入输出状态表判断逻辑功能。在数字电路中,三极管工作在开关状态,即工作在饱和和截止状态。把逻辑函数的输入、输出关系写成与、或、非等逻辑运算的组合式,即逻辑代数式,称为逻辑函数式,我们通常采用“与或”的形式。00,01,11,10“与”、“或”、“非”是三种基本的逻辑关系,任何其它的逻辑关系都可以以它们为基础表示。输出变量:S-本位和;2、运用逻辑代数对逻辑式进行化简或变换。各段

14、加反向电压导通,发光;二进制与十进制之间的转换(1)“与”逻辑运算和与门(有n个变量时,变量的取值组合有2n个)前面已经提到,在此不再重复4、根据输入输出状态表判断逻辑功能。1 0 1 1编码:赋予选定的一系列二进制代码以固定的含义。(2)基极电流、集电极电流不成线性关系编码器:实现编码功能的逻辑电路根据逻辑式或真值表画出卡诺图1、由给定的逻辑图写出逻辑关系表达式。输出变量:S-本位和;D Y2与与或或非非条件条件A、B都具备,则都具备,则事件事件F 发生发生条件条件A、B有有一个或一个一个或一个以上具备,以上具备,则事件则事件F 发生发生条件条件A不具备,不具备,则事件则事件F 发生发生条件

15、条件A具备,具备,则事件则事件F 不发不发生生F=ABF=A+BF=A有有0出出0全全1出出1有有1出出1全全0出出0有有0出出1有有1出出0逻辑关系逻辑关系含义含义逻辑表逻辑表达式达式记忆口诀记忆口诀逻辑符号逻辑符号&ABCF 1ABCFAF1A+0=A A+1=1 A 0=0 A=0 A 1=A1AA AAA 0AA AAA AA 所以,可以得到以下逻辑运算:所以,可以得到以下逻辑运算:0 0=0 1=1 0=01 1=10+0=00+1=1+0=1+1=11001 2.2.基本逻辑运算法则基本逻辑运算法则逻逻辑辑代代数数的的基基本本定定律律交换律交换律结合律结合律分配律分配律A+B=B+

16、AA B=B AA+(B+C)=(A+B)+C=(A+C)+BA(B C)=(A B)CA(B+C)=A B+A CA+B C=(A+B)(A+C)普通代数普通代数不适用不适用!3.3.逻辑代数的基本定律逻辑代数的基本定律A+AB=A证明:证明:A+AB=A(1+B)=A1=A利用运算规则可以对逻辑式进行化简。利用运算规则可以对逻辑式进行化简。例如:例如:CDAB)FE(DABCDAB 被吸收被吸收吸收律吸收律BABABABA ABAB0001111010110110010111110000BA ABBA 可以用列真值表的方法证明:可以用列真值表的方法证明:反演律反演律 1 +1=?十进制运算

17、:十进制运算:1+1=21+1=2逻辑运算:逻辑运算:1+1=11+1=1二进制运算二进制运算 1+1=101+1=104.几种常用的逻辑运算几种常用的逻辑运算“与与”、“或或”、“非非”是三种基本的逻辑关系,是三种基本的逻辑关系,任何其它的逻辑关系都可以以它们为基础表示。任何其它的逻辑关系都可以以它们为基础表示。CBAF 与非:条件与非:条件A、B、C都都具备,则具备,则F 不发生。不发生。&ABCFCBAF 或非:条件或非:条件A、B、C任任一具备,则一具备,则F 不发生。不发生。1ABCF异或:输入不异或:输入不同时,输出为同时,输出为“1”,输入相,输入相同时,输出为同时,输出为“0”

18、=1AB同或:输入不同或:输入不同时,输出为同时,输出为“0”,输入相,输入相同时,输出为同时,输出为“1”=1ABF BABAF BAABF逻辑函数及其表示法逻辑函数及其表示法1、逻辑函数、逻辑函数任何一个具体的逻辑因果关系都可以用一个任何一个具体的逻辑因果关系都可以用一个确定的逻辑函数来描述。确定的逻辑函数来描述。2、逻辑函数的表示法逻辑函数的表示法逻辑函数式逻辑函数式把逻辑函数的输入、输出关系写成与、或、非把逻辑函数的输入、输出关系写成与、或、非等逻辑运算的组合式,即逻辑代数式,称为逻辑等逻辑运算的组合式,即逻辑代数式,称为逻辑函数式,我们通常采用函数式,我们通常采用“与或与或”的形式。

19、的形式。比如:比如:ABCCBACBACBACBAF+=逻辑图逻辑图把相应的逻辑关系用逻辑符号把相应的逻辑关系用逻辑符号和连线表示出来。和连线表示出来。&AB&CD 1FF=AB+CD逻辑状态表逻辑状态表ABCF01000110000000101000101111011111将输入、输出的所有可能将输入、输出的所有可能状态一一对应地列出状态一一对应地列出第二步:计算出变量的各种取值组合对应的函数值,并添入表中。D Y0CT7449与七段显示器件的连接:1 0 1 1如:“与非与非表达式”。A B C输入:A、B:3V 逻辑“1”74LS139的功能表原变量的吸收:A+AB=A在数字系统中,常常

20、需要将运算结果用人们习惯的十进制显示出来,这就要用到显示译码器。当A2A1A0=000时,Y0=0,其它为100 01 11 10用两片74LS151构成十六选一数据选择器利用中规模组件设计组合电路与非:条件A、B、C都具备,则F 不发生。1 0 0 0除与当前输入有关外还与原状态有关根据逻辑式或真值表画出卡诺图n个变量可以有个变量可以有2n个组合,一般个组合,一般按二进制的顺序,输出与输入状态按二进制的顺序,输出与输入状态一一对应,列出所有可能的状态。一一对应,列出所有可能的状态。注意注意!ACBF00001000101110011010111100001111ABC BACCBABCAF=

21、+3.逻辑函数表示形式的转换逻辑函数表示形式的转换(1 1)由真值表转换到与或表达式)由真值表转换到与或表达式第一步:取真值表中函数值为第一步:取真值表中函数值为“1”的各的各项,将变量写成项,将变量写成“与与”的形式;(变量的形式;(变量为为1,取其本身,变量为,取其本身,变量为0,取其反),取其反)第二步:将各项写成第二步:将各项写成“或或”的形式的形式(2 2)由逻辑表达式转换到真值表)由逻辑表达式转换到真值表第一步:把逻辑表达式中变量的各种取值组合有序地添第一步:把逻辑表达式中变量的各种取值组合有序地添入真值表中;(有入真值表中;(有n个变量时,变量的取值组合有个变量时,变量的取值组合

22、有2n个)个)A BF001001110110BABAF第二步:计算出变量的各种取值组第二步:计算出变量的各种取值组合对应的函数值,并添入表中。合对应的函数值,并添入表中。(3 3)逻辑表达式与逻辑图的转换)逻辑表达式与逻辑图的转换前面已经提到,在此不再重复前面已经提到,在此不再重复逻辑函数的化简逻辑函数的化简 在实现同一逻辑功能的前提下,逻辑式在实现同一逻辑功能的前提下,逻辑式越简单,则需要门的数量越少,电路越简越简单,则需要门的数量越少,电路越简单。所以逻辑式的化简是分析和设计逻辑单。所以逻辑式的化简是分析和设计逻辑电路必不可少的步骤。电路必不可少的步骤。化简:化简:(1 1)根据逻辑代数

23、的运算法则将逻辑式的)根据逻辑代数的运算法则将逻辑式的项数减少,将每一项中的变量减少。项数减少,将每一项中的变量减少。(2 2)根据要求将逻辑式转换为需要的逻辑)根据要求将逻辑式转换为需要的逻辑运算形式。如:运算形式。如:“与非与非表达式与非与非表达式”。BA用与非门实现用与非门实现BABA=例例1:CBBCBAABF )CBBC(BAAB )(反演反演CB)AA(BC)CC(BAAB 配项配项CBBCAABCCBACBAAB 被吸收被吸收被吸收被吸收CB)BB(CAAB CBCAAB 1应用逻辑代数运算法则化简应用逻辑代数运算法则化简 例例2:ABAC)BC(A)BCB(AABCBA)CC(

24、ABCBAABCCABCBAF 反变量吸收反变量吸收提出提出AB=1提出提出AAB=ACB=C?A+B=A+CB=C?请注意与普通代数的区别!请注意与普通代数的区别!用与非门实现下列逻辑关系,画出逻辑图用与非门实现下列逻辑关系,画出逻辑图F=AB+AC=AB+AC=ABAC&B&CF例例3&A&把逻辑函数的输入、输出关系写成与、或、非把逻辑函数的输入、输出关系写成与、或、非等逻辑运算的组合式,即逻辑代数式,称为逻辑等逻辑运算的组合式,即逻辑代数式,称为逻辑函数式,我们通常采用函数式,我们通常采用“与或与或”的形式。的形式。比如:比如:ABCCBACBACBACBAF 若表达式中的乘积包含了所有

25、变量的原若表达式中的乘积包含了所有变量的原变量或反变量,则这一项称为最小项,上变量或反变量,则这一项称为最小项,上式中每一项都是最小项。式中每一项都是最小项。若两个最小项只有一个变量以原、反区别若两个最小项只有一个变量以原、反区别,称它们逻辑相邻。,称它们逻辑相邻。2、应用卡诺图化简、应用卡诺图化简(1 1)最小项与逻辑相邻)最小项与逻辑相邻 ABCCBACBACBACBAF 逻辑相邻逻辑相邻CBCBACBA 逻辑相邻的项可以逻辑相邻的项可以合并,消去一个因子合并,消去一个因子(2 2)卡诺图)卡诺图 所谓卡诺图,就是和变量的最小项对应的所谓卡诺图,就是和变量的最小项对应的按一定规则排列的方格

26、图,每一小方格填入一按一定规则排列的方格图,每一小方格填入一个最小项。个最小项。n n个输入变量有个输入变量有 2 2n n 个最小项,个最小项,卡诺图也就有卡诺图也就有 2 2n n 个小方格,个小方格,在卡诺图的行和列分别标出变量及其状态在卡诺图的行和列分别标出变量及其状态注意:注意:变量状态的次序是变量状态的次序是0000,0101,1111,1010为了保证卡为了保证卡诺图中的各诺图中的各最小项之间最小项之间逻辑相邻逻辑相邻A B C000001010011100101110111对应的最小项及其编号最小项编 号CBA CBA CBA CBA CBA CBA CBA CBA om1m2

27、m3m4m5m6m7mmo m2m1 m3 0101AB二变量卡诺图AB 0101BA BABA ABBBAAmo m2 m6 m4m1 m3 m7 m500 01 11 1001BCA三变量卡诺图00 01 11 1001 BCACBA CBABCACAB CBA CBAABCCAB BBAACCC00 01 11 1000011110CDABDCBA CADCBA DCBA DCBA DCBA DCBA DCBA DCBA DCBA DCBA ABCDCDBADCBA DCBA DABCDCBABD四变量卡诺图画法:画法:根据逻辑式或真值表画出卡诺图根据逻辑式或真值表画出卡诺图将逻辑式中的

28、最小项或真值表将逻辑式中的最小项或真值表中取中取“1”1”的最小项用的最小项用“1”1”填填入卡诺图中相应的小方格内。入卡诺图中相应的小方格内。逻辑式原变量为逻辑式原变量为“1”;反变量为;反变量为“0”例例4:用卡诺图表示CBABCACABABCYBCBCA A00 01 11 10011111例例5:用卡诺图表示DBDBCBAAYCDCDABAB00 01 11 1000011110逻辑表达式不是最小项的形式,逻辑表达式不是最小项的形式,化成最小项后,再画卡诺图化成最小项后,再画卡诺图1 1 1 11 1 1 11 1 1 1111111例例6:BCBCA A00 01 11 100111

29、11根据下面的真值表画出卡诺图根据下面的真值表画出卡诺图A B C FA B C F0 0 0 00 0 0 00 0 1 00 0 1 00 1 0 00 1 0 00 1 1 10 1 1 11 0 0 01 0 0 01 0 1 11 0 1 11 1 0 11 1 0 11 1 1 11 1 1 1将卡诺图中所有取值为将卡诺图中所有取值为“1”1”的相邻小的相邻小方格圈成矩形或方形,然后合并化简。方格圈成矩形或方形,然后合并化简。(3 3)应用卡诺图化简)应用卡诺图化简化简的原则:化简的原则:方法:方法:将取值为将取值为1的相邻小方格圈成矩形或方形的相邻小方格圈成矩形或方形;所圈小方格

30、的个数为所圈小方格的个数为2n 个个 相邻是指几何相邻或同行的最左端和最右端,相邻是指几何相邻或同行的最左端和最右端,同列的最上方和最下方同列的最上方和最下方 相邻项合并相邻项合并圈的个数应最少,圈内小方格的个数尽可能多,圈的个数应最少,圈内小方格的个数尽可能多,每圈一个新圈时,至少包含一个新项每圈一个新圈时,至少包含一个新项CDCDABAB00 01 11 1000011110例例7:11111 1 1 11 1 F=F=DBABBD例例8:BCBCA A00 01 11 10011111根据下面的真值表画出卡诺图并化简根据下面的真值表画出卡诺图并化简A B C FA B C F0 0 0

31、00 0 0 00 0 1 00 0 1 00 1 0 10 1 0 10 1 1 10 1 1 11 0 0 01 0 0 01 0 1 11 0 1 11 1 0 01 1 0 01 1 1 11 1 1 1F=F=BAAC例例8:用卡诺图化简CBABCACABABCYBCBCA A00 01 11 10011111Y=Y=CABC例例9:用卡诺图化简DBDBCBAAYCDCDABAB00 01 11 10000111101 1 1 11 1 1 11 1 1 1111111Y=Y=ADB逻辑门电路逻辑门电路门电路是用以实现逻辑关系的电子电路。门电路是用以实现逻辑关系的电子电路。门电路主要

32、有:与门、或门、非门、与非门、门电路主要有:与门、或门、非门、与非门、或非门、异或门等。或非门、异或门等。在数字电路在数字电路中,一般用高电中,一般用高电平代表平代表1、低电平、低电平平代表平代表0,即所谓,即所谓的正逻辑系统。的正逻辑系统。100V只要能判断高低只要能判断高低电平即可电平即可只要能判断高低只要能判断高低电平即可电平即可9.3 逻辑门电路逻辑门电路分立元件门电路分立元件门电路二极管与门二极管与门FVD1VD2AB+12V&ABF二极管或门二极管或门FVD1VD2AB-12V 1ABFR1VDR2AF+12V+3V三极管非门三极管非门AF1R1VDR2F+12V+3V三极管非门三

33、极管非门VD1VD2AB+12V二极管与门二极管与门与非门与非门&ABF1 1)体积大、工作不可靠。)体积大、工作不可靠。2 2)需要不同电源。)需要不同电源。3 3)各种门的输入、输出电平不匹配。)各种门的输入、输出电平不匹配。集成门电路集成门电路与分离元件电路相比,集成电路具有体积小、与分离元件电路相比,集成电路具有体积小、可靠性高、速度快的特点,而且输入、输出电平匹可靠性高、速度快的特点,而且输入、输出电平匹配,所以早已广泛采用。根据电路内部的结构,可配,所以早已广泛采用。根据电路内部的结构,可分为分为DTL、TTL、HTL、CMOS管管集成门电路。集成门电路。TTL与非门的外形与非门的

34、外形1234561413121110987&1.TTL门电路门电路双列直插式双列直插式74LS00集成逻辑门电路集成逻辑门电路1)电压传输特性)电压传输特性TTL与非门的特性和技术参数与非门的特性和技术参数测试电路测试电路&+5Vuiu0u0(V)ui(V)123UOH(3.6V)UOL(0.3V)传输特性曲线传输特性曲线u0(V)ui(V)123UOH“1”UOL(0.3V)阈值阈值UT=1.4V理想的传输特性理想的传输特性输出高电平输出高电平输出低电平输出低电平(1)输出高电平)输出高电平UOH、输出低电平、输出低电平UOL UOH 2.4V UOL 0.4V 便认为合格。便认为合格。典型

35、值典型值UOH=3.6V UOL=0.3V2 2)主要参数)主要参数UOH(3.6V)(0.3V)UOL(2)输入高电平)输入高电平UIH、输入低电平输入低电平UILu0(V)ui(V)123UILUIHUoffUon 典型值典型值UIH=3.6V UIL 0.3V 开门电平开门电平Uon=UIH(min)关门电平关门电平Uoff=UIL(max)典型值典型值U Uonon=1.8V=1.8V U Uoffoff =0.=0.8V 8V (3)阈值电压)阈值电压UTuiUT时,认为时,认为ui是高电平。是高电平。UT=1.4Vu0(V)ui(V)123UOHUOL阈值阈值UT=1.4V(4)抗

36、干扰容限(噪声容限)抗干扰容限(噪声容限)低电平噪声容限:低电平噪声容限:UNL=Uoff-UIL高电平噪声容限:高电平噪声容限:UNH=UIH-Uonu0(V)ui(V)123UOH(3.4V)(0.3V)UOLUILUIHUoffUonUNLUNH(5)扇出系数)扇出系数&?前后级之间电流的联系前后级之间电流的联系门电路输出驱动同类门的个数门电路输出驱动同类门的个数(6)平均传输时间)平均传输时间tuiotuoo50%50%tpd1tpd2平均传输时间平均传输时间)tt(21t2pd1pdpd 1、悬空的输入端相当于接高电平。、悬空的输入端相当于接高电平。2、为了防止干扰,可将悬空的输入、

37、为了防止干扰,可将悬空的输入端接高电平。端接高电平。注意注意!2.MOS门电路门电路MOS反相器反相器0UDSIDuiuoUCCR负载线负载线ui=“1”ui=“0”uo=“0”uo=“1”uiuoUDDuiuoUDD实际结构实际结构等效结构等效结构负载管负载管驱动管驱动管CMOS反相器反相器UDDSVT2DVT1AFNMOS管管PMOS管管CMOS电路电路UDDSVT2DVT1AFA=0截止截止导通导通F=“”工作原理:工作原理:UDDSVT2DVT1AFA=导通导通截止截止F=“”工作原理:工作原理:VTN1UDDSDVTP2VTP1VTN2ABFA=0B=0截止截止导通导通F=1CMOS

38、与非门与非门VTN1UDDSDVTP2VTP1VTN2ABFA=0B=1截止截止导通导通F=1VTN1UDDSDVTP2VTP1VTN2ABFA=1B=0截止截止导通导通F=1VTN1UDDSDVTP2VTP1VTN2ABFA=1B=1导通导通F=0截止截止ABF001110011011BAFCMOS电路电路的优点:的优点:、静态功耗小。、静态功耗小。、允许电源电压范围宽(、允许电源电压范围宽(3 18V)。)。3、扇出系数大,抗噪容限大。、扇出系数大,抗噪容限大。符号符号低电平起作用低电平起作用&ABFENE输出高阻输出高阻ABF 功能表功能表E=1E=03.三态输出与非门三态输出与非门符号

39、符号输出高阻输出高阻1E 0E ABF 功能表功能表高电平起作用高电平起作用&ABFENE三态门主要作为三态门主要作为TTL电路与总线间的接电路与总线间的接口电路口电路用途:用途:E1、E2、E3分时接入高分时接入高电平电平E1E2E3公用总线公用总线&ABEN&ABEN&ABEN对集成门电路输入、输出端的处理对集成门电路输入、输出端的处理1、多余输入端的处理:、多余输入端的处理:TTL:悬空(易引入干扰)悬空(易引入干扰)直接接直接接+UCC通过合适电阻接通过合适电阻接+UCCCMOS:直接接直接接+UDD不许悬空!不许悬空!2、输出端的连接:、输出端的连接:(三态门、(三态门、OC门除外)

40、门除外)输出端不能并联!输出端不能并联!输出端不能接地!输出端不能接地!输出端不能直接接电源!输出端不能直接接电源!3、输入电压范围:、输入电压范围:uiUCC(UDD)+0.5V-0.5V4、供电电源的选用:、供电电源的选用:TTL:UCC=55.5V对电源要求高对电源要求高CMOS:UDD=318V对电源适应范围宽对电源适应范围宽概述概述逻辑电路逻辑电路组合逻辑电路组合逻辑电路时序逻辑电路时序逻辑电路当前的输出仅取决当前的输出仅取决于当前的输入于当前的输入除与当前输入有关除与当前输入有关外还与原状态有关外还与原状态有关9.4 组合逻辑电路的分析与设计组合逻辑电路的分析与设计 3、列出输入输

41、出状态表并得出结论。、列出输入输出状态表并得出结论。组合逻辑电路分析组合逻辑电路分析 分析步骤:分析步骤:电路电路 结构结构输入输出之间的输入输出之间的逻辑关系逻辑关系 1、由给定的逻辑图写出逻辑关系表达式。、由给定的逻辑图写出逻辑关系表达式。4、根据输入输出状态表判断逻辑功能。、根据输入输出状态表判断逻辑功能。2、运用逻辑代数对逻辑式进行化简或变换。、运用逻辑代数对逻辑式进行化简或变换。例例10:分析下图的逻辑功能:分析下图的逻辑功能。ABABBA BABA BABAF BABABABA&ABF11ABF001010100111状态表状态表相同为相同为“1”不同为不同为“0”同或门同或门=1

42、BAF BABAF BABABABA 例例11:分析下图的逻辑功能。:分析下图的逻辑功能。&ABFBA ABA BBA BBAABAF BBAABA BBAABA )()(BABA ABF000011101110状态表状态表相同为相同为“0”不同为不同为“1”异或门异或门=1BAF BABA F例例12:分析下图的逻辑功能。:分析下图的逻辑功能。&2&3&4AMB1F=101被封锁被封锁11&2&3&4AMB1F=010被封锁被封锁1选通电路选通电路组合逻辑电路设计组合逻辑电路设计任务任务要求要求最简单的最简单的逻辑电路逻辑电路1、指定实际问题的逻辑含义,列出逻辑状态表。、指定实际问题的逻辑含

43、义,列出逻辑状态表。分析步骤:分析步骤:2、根据状态表,写出逻辑式。、根据状态表,写出逻辑式。3、用逻辑代数对逻辑式进行化简或变换。、用逻辑代数对逻辑式进行化简或变换。4、根据化简、变换后的逻辑式画出逻辑图。、根据化简、变换后的逻辑式画出逻辑图。例例13:设计三人表决电路(:设计三人表决电路(A、B、C)。每)。每人一个按键,如果同意则按下,不同意则不人一个按键,如果同意则按下,不同意则不按。结果用指示灯表示,多数同意时指示灯按。结果用指示灯表示,多数同意时指示灯亮,否则不亮。亮,否则不亮。1)、首先指明逻辑符号取、首先指明逻辑符号取“0”、“1”的含义。的含义。三个按键三个按键A、B、C按下

44、时为按下时为“1”,不按时为,不按时为“0”。输出是。输出是F,多数赞成时是,多数赞成时是“1”,否则是,否则是“0”。2)、根据题意列出逻辑状态表。、根据题意列出逻辑状态表。逻辑状态表逻辑状态表ACBF000010001011100110101111000011113)根据状态表,写出逻辑式。根据状态表,写出逻辑式。(1)取结果为)取结果为“1”的项,将输入变的项,将输入变量写成量写成“与与”的形式;(变量为的形式;(变量为1,取原变量,变量为取原变量,变量为0,取反变量),取反变量)ABC BACCBABCAF=+4)、化简逻辑式。、化简逻辑式。(2)将各项写成)将各项写成“或或”的形的形

45、式式ABC BACCBABCAF=+BCA+BCA+ABC BACCBA+BCA+=ABC+CBABCA+BCA+BCABAC+=+ABC+)(A+BAC+)(BACB+)(C=BC+ACABCABCABF BCBCA A00 01 11 10011111ABC BACCBABCAF=+用卡诺图化简:用卡诺图化简:F=F=ACABBC5)、根据逻辑表达式画出逻辑图。、根据逻辑表达式画出逻辑图。CABCABF&1&AB BCFCABCAB CABCAB&ABCFCABCABF 若用与非门实现若用与非门实现设计一个二进制设计一个二进制 加法器加法器1 1 0 11 0 0 1+如:如:A=1101

46、,B=1001,计算计算A+B011010011进位信号进位信号和和二进制加法运算的基本规则二进制加法运算的基本规则:(2)最低位是两个最低位数的叠加,不需考虑进位。)最低位是两个最低位数的叠加,不需考虑进位。(3)其余各位都是三个数相加,包括加数、被加数和)其余各位都是三个数相加,包括加数、被加数和低位来的进位信号。低位来的进位信号。(4)任何位相加都产生两个结果:本位和、向高位的)任何位相加都产生两个结果:本位和、向高位的进位信号。进位信号。(1)逢二进一。)逢二进一。例例14:一、半加器的设计:一、半加器的设计:0+0=00+1=11+0=11+1=10两个两个1 1位二进制数相加,有位

47、二进制数相加,有4 4种情况;种情况;任务要求任务要求:实现不考虑低位进位时的两个一位二进制数相加。实现不考虑低位进位时的两个一位二进制数相加。(1)确定输入、输出变量)确定输入、输出变量输入变量:输入变量:A-加数;加数;B-被加数;被加数;输出变量:输出变量:S-本位和;本位和;C-进位。进位。ABCS0000010110011110逻辑状态表逻辑状态表(2 2)列逻辑状态表)列逻辑状态表ABCS0000010110011110逻辑状态表逻辑状态表BABABAS ABC (3 3)写逻辑表达式)写逻辑表达式用异或门构成用异或门构成逻辑符号逻辑符号=1&ABSCABCS CO用与非门实现用与

48、非门实现画出逻辑图画出逻辑图由逻辑式知,需七个门由逻辑式知,需七个门BABABABABABASABC&AB&SC化简后,可得化简后,可得S&AB&CBABAABS (2)全加器)全加器输入:输入:Ai-加数;加数;Bi-被加数;被加数;Ci-1-低位的进位;低位的进位;输出:输出:Si-本位和;本位和;Ci-进位。进位。AiBiCi-1SiCi0000000110010100110110010101011100111111逻辑状态表逻辑状态表1iiiii1iiiiii)CBAB(C)BAB(AS Aii1iiiiiBA)CBABA(C 半加和半加和所以:所以:iiiiiiBABABAs iii

49、iBABAs 1iii1i1iiC)B(Ac scsS ii1iiiii1iiBA)CB(AbascC 1iiiii1iiiiii)CBAB(C)BAB(AS Aii1iiiiiBA)CBABA(C 全加器逻辑图全加器逻辑图逻辑符号逻辑符号Si 1AiBiCi-1CiCS CO COAiBiCi-1sici CICO1iii1i1iiC)B(Ac scsS ii1iiiii1iiBA)CB(AbascC A1B1Ci-1s1c1 CICOA0B0Ci-1s0c0 CICOA 11B 10 101应用举例:构成两位串行进位全加器。应用举例:构成两位串行进位全加器。“1”“0”“1”“0”“0”“

50、1”“1”“0”“1”思考思考构成四位串行二进制加法器。构成四位串行二进制加法器。四位二进制超前进位全加器四位二进制超前进位全加器74LS283“0”“1”“1”“1”“1”“0”1674LS2833A44B3A3UccGND“1”“1”B4C412B2A2B1A1C0A=1101B=1001“0”“0”“1”“0”“1”补充:补充:用与非门设计一个用与非门设计一个4 4线、线、2 2线编码器线编码器列编码表、逻辑式、逻辑图列编码表、逻辑式、逻辑图9.5 常用组合逻辑模块常用组合逻辑模块编码器编码器编码:赋予选定的一系列二进制代码以固定的含义。编码:赋予选定的一系列二进制代码以固定的含义。编码

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 办公、行业 > 各类PPT课件(模板)
版权提示 | 免责声明

1,本文(门电路与组合逻辑电路培训课件.ppt)为本站会员(晟晟文业)主动上传,163文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。
2,用户下载本文档,所消耗的文币(积分)将全额增加到上传者的账号。
3, 若此文所含内容侵犯了您的版权或隐私,请立即通知163文库(发送邮件至3464097650@qq.com或直接QQ联系客服),我们立即给予删除!


侵权处理QQ:3464097650--上传资料QQ:3464097650

【声明】本站为“文档C2C交易模式”,即用户上传的文档直接卖给(下载)用户,本站只是网络空间服务平台,本站所有原创文档下载所得归上传人所有,如您发现上传作品侵犯了您的版权,请立刻联系我们并提供证据,我们将在3个工作日内予以改正。


163文库-Www.163Wenku.Com |网站地图|